0 Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information R DS031-4 (v1.6) November 7, 2001 0 Advance Product Specification 0 This document provides Virtex(R)-II Device/Package Combinations and Maximum I/Os Available and Virtex-II Pin Definitions, followed by pinout tables for the following packages: * * * * * CS144 Chip-Scale BGA Package FG256 Fine-Pitch BGA Package FG456 Fine-Pitch BGA Package FG676 Fine-Pitch BGA Package BG575 Standard BGA Package Virtex(R)-II Device/Package Combinations and Maximum I/Os Available * * * * * BG728 Standard BGA Package FF896 Flip-Chip Fine-Pitch BGA Package FF1152 Flip-Chip Fine-Pitch BGA Package FF1517 Flip-Chip Fine-Pitch BGA Package BF957 Flip-Chip BGA Package * CS denotes wire-bond chip-scale ball grid array (BGA) (0.80 mm pitch). FG denotes wire-bond fine-pitch BGA (1.00 mm pitch). FF denotes flip-chip fine-pitch BGA (1.00 mm pitch). BG denotes standard BGA (1.27 mm pitch). BF denotes flip-chip BGA (1.27 mm pitch). * * * * Wire-bond and flip-chip packages are available. Table 1 and Table 2 show the maximum number of user I/Os possible in wire-bond and flip-chip packages, respectively. The number of I/Os per package include all user I/Os except the 15 control pins (CCLK, DONE, M0, M1, M2, PROG_B, PWRDWN_B, TCK, TDI, TDO, TMS, HSWAP_EN, DXN, DXP, AND RSVD). Table 3 shows the number of user I/Os available for all device/package combinations. Table 1: Wire-Bond Packages Information Package CS144 FG256 FG456 FG676 BG575 BG728 Pitch (mm) 0.80 1.00 1.00 1.00 1.27 1.27 Size (mm) 12 x 12 17 x 17 23 x 23 27 x 27 31 x 31 35 x 35 92 172 324 484 408 516 I/Os Table 2: Flip-Chip Packages Information Package FF896 FF1152 FF1517 BF957 Pitch (mm) 1.00 1.00 1.00 1.27 Size (mm) 31 x 31 35 x 35 40 x 40 40 x 40 624 824 1,108 684 I/Os (c) 2001 Xilinx, Inc. All rights reserved. All Xilinx trademarks, registered trademarks, patents, and disclaimers are as listed at http://www.xilinx.com/legal.htm. All other trademarks and registered trademarks are the property of their respective owners. All specifications are subject to change without notice. DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 1 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 3: Virtex-II Device/Package Combinations and Maximum Number of Available I/Os Available I/Os XC2V 40 XC2V 80 XC2V 250 CS144 88 92 92 FG256 88 120 Package FG456 XC2V 500 XC2V 1000 172 172 172 200 264 324 FG676 FF896 432 XC2V 1500 XC2V 2000 XC2V 3000 392 456 484 528 624 FF1152 720 FF1517 BG575 328 392 456 516 BF957 624 684 This section describes the pinouts for Virtex-II devices in the following packages: * CS144: wire-bond chip-scale ball grid array (BGA) of 0.80 mm pitch * FG256, FG456, and FG676: wire-bond fine-pitch BGA of 1.00 mm pitch * FF896, FF1152, FF1517: flip-chip fine-pitch BGA of 1.00 mm pitch * BG575 and BG728: wire-bond BGA of 1.27 mm pitch * BF957: flip-chip BGA of 1.27 mm pitch Module 4 of 4 2 XC2V 6000 XC2V 8000 824 824 824 912 1,104 1,108 684 684 684 408 BG728 Virtex-II Pin Definitions XC2V 4000 All of the devices supported in a particular package are pinout compatible and are listed in the same table (one table per package). In addition, the FG456 and FG676 packages are compatible, as are the FF896 and FF1152 packages. Pins that are not available for the smallest devices are listed in right-hand columns. Each device is split into eight I/O banks to allow for flexibility in the choice of I/O standards (see the Virtex-II Data Sheet). Global pins, including JTAG, configuration, and power/ground pins, are listed at the end of each table. Table 4 provides definitions for all pin types. The FG256 pinouts (Table 6) is included as an example. All Virtex-II pinout tables are available on the distribution CD-ROM, or on the web (at http://www.xilinx.com). www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Pin Definitions Table 4 provides a description of each pin type listed in Virtex-II pinout tables. Table 4: Virtex-II Pin Definitions Pin Name Direction Description Input/Output All user I/O pins are capable of differential signalling and can implement LVDS, ULVDS, BLVDS, or LDT pairs. Each user I/O is labeled "IO_LXXY_#", where: IO indicates a user I/O pin. LXXY indicates a differential pair, with XX a unique pair in the bank and Y = P/N for the positive and negative sides of the differential pair. # indicates the bank number (0 through 7) User I/O Pins IO_LXXY_# Dual-Function Pins IO_LXXY_#/ZZZ The dual-function pins are labelled "IO_LXXY_#/ZZZ", where ZZZ can be one of the following pins: Per Bank - VRP, VRN, or VREF Globally - GCLKX(S/P), BUSY/DOUT, INIT_B, DIN/D0 - D7, RDWR_B, or CS_B With /ZZZ: DIN / D0, D1, D2, D3, D4, D5, D6, D7 Input/Output In SelectMAP mode, D0 through D7 are configuration data pins. These pins become user I/Os after configuration, unless the SelectMAP port is retained. CS_B Input In SelectMAP mode, this is the active-low Chip Select signal. The pin becomes a user I/O after configuration, unless the SelectMAP port is retained. RDWR_B Input In SelectMAP mode, this is the active-low Write Enable signal. The pin becomes a user I/O after configuration, unless the SelectMAP port is retained. BUSY/DOUT Output In SelectMAP mode, BUSY controls the rate at which configuration data is loaded. The pin becomes a user I/O after configuration, unless the SelectMAP port is retained. In bit-serial modes, DIN (D0) is the single-data input. This pin becomes a user I/O after configuration. In bit-serial modes, DOUT provides preamble and configuration data to downstream devices in a daisy-chain. The pin becomes a user I/O after configuration. INIT_B Bidirectional (open-drain) When Low, this pin indicates that the configuration memory is being cleared. When held Low, the start of configuration is delayed. During configuration, a Low on this output indicates that a configuration data error has occurred. The pin becomes a user I/O after configuration. GCLKx (S/P) Input These are clock input pins that connect to Global Clock Buffers. These pins become regular user I/Os when not needed for clocks. VRP Input This pin is for the DCI voltage reference resistor of P transistor (per bank). VRN Input This pin is for the DCI voltage reference resistor of N transistor (per bank). ALT_VRP Input This is the alternative pin for the DCI voltage reference resistor of P transistor. ALT_VRN Input This is the alternative pin for the DCI voltage reference resistor of N transistor. VREF Input These are input threshold voltage pins. They become user I/Os when an external threshold voltage is not needed (per bank). Input/Output Configuration clock. Output in Master mode or Input in Slave mode. Dedicated Pins(1) CCLK DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 3 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 4: Virtex-II Pin Definitions (Continued) Pin Name Direction Description PROG_B Input Active Low asynchronous reset to configuration logic. This pin has a permanent weak pull-up resistor. DONE Input/Output DONE is a bidirectional signal with an optional internal pull-up resistor. As an output, this pin indicates completion of the configuration process. As an input, a Low level on DONE can be configured to delay the start-up sequence. M2, M1, M0 Input Configuration mode selection. HSWAP_EN Input Enable I/O pullups during configuration. TCK Input Boundary Scan Clock. TDI Input Boundary Scan Data Input. TDO Output Boundary Scan Data Output. TMS Input Boundary Scan Mode Select. PWRDWN_B Input Power down pin. DXN, DXP N/A Temperature-sensing diode pins (Anode: DXP, Cathode: DXN). VBATT Input Decryptor key memory backup supply. (Do not connect if battery is not used.) RSVD N/A Reserved pin - do not connect. VCCO Input Power-supply pins for the output drivers (per bank). VCCAUX Input Power-supply pins for auxiliary circuits. VCCINT Input Power-supply pins for the internal core logic. GND Input Ground. Other Pins Notes: 1. All dedicated pins (JTAG and configuration) are powered by VCCAUX (independent of the bank VCCO voltage). Module 4 of 4 4 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information CS144 Chip-Scale BGA Package As shown in Table 5, XC2V40, XC2V80, and XC2V250 Virtex-II devices are available in the CS144 package. Pins in the XC2V40, XC2V80, and XC2V250 devices are the same except for pin differences in the XC2V40 device, shown in the No Connect column. Following this table are the CS144 Chip-Scale BGA Package Specifications (0.80mm pitch). Table 5: CS144 -- XC2V40, XC2V80, and XC2V250 Bank Pin Description Pin Number 0 IO_L01N_0 B3 0 IO_L01P_0 A3 0 IO_L02N_0 C4 0 IO_L02P_0 B4 0 IO_L03N_0/VRP_0 A4 0 IO_L03P_0/VRN_0 D5 0 IO_L94N_0/VREF_0 A5 0 IO_L94P_0 D6 0 IO_L95N_0/GCLK7P C6 0 IO_L95P_0/GCLK6S B6 0 IO_L96N_0/GCLK5P A6 0 IO_L96P_0/GCLK4S D7 1 IO_L96N_1/GCLK3P A7 1 IO_L96P_1/GCLK2S B7 1 IO_L95N_1/GCLK1P A8 1 IO_L95P_1/GCLK0S B8 1 IO_L94N_1 C8 1 IO_L94P_1/VREF_1 D8 1 IO_L03N_1/VRP_1 C9 1 IO_L03P_1/VRN_1 D9 1 IO_L02N_1 A10 1 IO_L02P_1 B10 1 IO_L01N_1 C10 1 IO_L01P_1 D10 2 IO_L01N_2 C13 2 IO_L01P_2 D11 2 IO_L02N_2/VRP_2 D12 2 IO_L02P_2/VRN_2 D13 2 IO_L03N_2 E10 2 IO_L03P_2/VREF_2 E11 2 IO_L93N_2 E13 NC 2 IO_L93P_2/VREF_2 F11 NC 2 IO_L94N_2 F12 2 IO_L94P_2 G10 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V40 Module 4 of 4 5 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 5: CS144 -- XC2V40, XC2V80, and XC2V250 Bank Pin Description Pin Number 2 IO_L96N_2 G11 2 IO_L96P_2 G13 3 IO_L96N_3 G12 3 IO_L96P_3 H12 3 IO_L94N_3 H11 3 IO_L94P_3 J13 3 IO_L03N_3/VREF_3 J10 3 IO_L03P_3 K13 3 IO_L02N_3/VRP_3 K12 3 IO_L02P_3/VRN_3 K11 3 IO_L01N_3 K10 3 IO_L01P_3 L13 4 IO_L01N_4/DOUT M11 4 IO_L01P_4/INIT_B N11 4 IO_L02N_4/D0 L10 4 IO_L02P_4/D1 M10 4 IO_L03N_4/D2/ALT_VRP_4 N10 4 IO_L03P_4/D3/ALT_VRN_4 K9 4 IO_L94N_4/VREF_4 N9 4 IO_L94P_4 K8 4 IO_L95N_4/GCLK3S L8 4 IO_L95P_4/GCLK2P M8 4 IO_L96N_4/GCLK1S N8 4 IO_L96P_4/GCLK0P K7 5 IO_L96N_5/GCLK7S N7 5 IO_L96P_5/GCLK6P M7 5 IO_L95N_5/GCLK5S N6 5 IO_L95P_5/GCLK4P M6 5 IO_L94N_5 L6 5 IO_L94P_5/VREF_5 K6 5 IO_L03N_5/D4/ALT_VRP_5 L5 5 IO_L03P_5/D5/ALT_VRN_5 K5 5 IO_L02N_5/D6 N4 5 IO_L02P_5/D7 M4 5 IO_L01N_5/RDWR_B L4 5 IO_L01P_5/CS_B K4 Module 4 of 4 6 www.xilinx.com 1-800-255-7778 No Connect in the XC2V40 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 5: CS144 -- XC2V40, XC2V80, and XC2V250 Bank Pin Description Pin Number 6 IO_L01P_6 L3 6 IO_L01N_6 L2 6 IO_L02P_6/VRN_6 L1 6 IO_L02N_6/VRP_6 K3 6 IO_L03P_6 K2 6 IO_L03N_6/VREF_6 K1 6 IO_L94P_6 J2 6 IO_L94N_6 H4 6 IO_L96P_6 H3 6 IO_L96N_6 H1 7 IO_L96P_7 G4 7 IO_L96N_7 G3 7 IO_L94P_7 G1 7 IO_L94N_7 F1 7 IO_L93P_7/VREF_7 F2 NC 7 IO_L93N_7 F4 NC 7 IO_L03P_7/VREF_7 E2 7 IO_L03N_7 E3 7 IO_L02P_7/VRN_7 E4 7 IO_L02N_7/VRP_7 D1 7 IO_L01P_7 D2 7 IO_L01N_7 D3 0 VCCO_0 B5 0 VCCO_0 C3 1 VCCO_1 A11 1 VCCO_1 A9 2 VCCO_2 F10 2 VCCO_2 C12 3 VCCO_3 L12 3 VCCO_3 J12 4 VCCO_4 M9 4 VCCO_4 L11 5 VCCO_5 N3 5 VCCO_5 N5 6 VCCO_6 J3 6 VCCO_6 M1 7 VCCO_7 D4 7 VCCO_7 F3 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V40 Module 4 of 4 7 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 5: CS144 -- XC2V40, XC2V80, and XC2V250 Bank Pin Description Pin Number NA CCLK M13 NA PROG_B B1 NA DONE N12 NA M0 N2 NA M1 M2 NA M2 M3 NA TCK B12 NA TDI C1 NA TDO C11 NA TMS A13 NA PWRDWN_B M12 NA HSWAP_EN A1 NA RSVD A2 NA RSVD B2 NA VBATT A12 NA RSVD B11 NA VCCAUX C2 NA VCCAUX N1 NA VCCAUX N13 NA VCCAUX B13 NA VCCINT H2 NA VCCINT L7 NA VCCINT H13 NA VCCINT C7 NA GND E1 NA GND G2 NA GND J1 NA GND J4 NA GND M5 NA GND L9 NA GND J11 NA GND H10 NA GND F13 NA GND E12 NA GND B9 NA GND C5 Module 4 of 4 8 www.xilinx.com 1-800-255-7778 No Connect in the XC2V40 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information CS144 Chip-Scale BGA Package Specifications (0.80mm pitch) Figure 1: CS144 Chip-Scale BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 9 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FG256 Fine-Pitch BGA Package As shown in Table 6, XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Virtex-II devices are available in the FG256 fine-pitch BGA package. The pins in the XC2V250, XC2V500, and XC2V1000 devices are same. The No Connect columns show pin differences for the XC2V40 and XC2V80 devices. Following this table are the FG256 Fine-Pitch BGA Package Specifications (1.00mm pitch). Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V40 No Connect in XC2V80 0 IO_L01N_0 C4 0 IO_L01P_0 B4 0 IO_L02N_0 D5 0 IO_L02P_0 C5 0 IO_L03N_0/VRP_0 B5 0 IO_L03P_0/VRN_0 A5 0 IO_L04N_0/VREF_0 D6 NC NC 0 IO_L04P_0 C6 NC NC 0 IO_L05N_0 B6 NC NC 0 IO_L05P_0 A6 NC NC 0 IO_L92N_0 E6 NC NC 0 IO_L92P_0 E7 NC NC 0 IO_L93N_0 D7 NC NC 0 IO_L93P_0 C7 NC NC 0 IO_L94N_0/VREF_0 B7 0 IO_L94P_0 A7 0 IO_L95N_0/GCLK7P D8 0 IO_L95P_0/GCLK6S C8 0 IO_L96N_0/GCLK5P B8 0 IO_L96P_0/GCLK4S A8 1 IO_L96N_1/GCLK3P A9 1 IO_L96P_1/GCLK2S B9 1 IO_L95N_1/GCLK1P C9 1 IO_L95P_1/GCLK0S D9 1 IO_L94N_1 A10 1 IO_L94P_1/VREF_1 B10 1 IO_L93N_1 C10 NC NC 1 IO_L93P_1 D10 NC NC 1 IO_L92N_1 E10 NC NC Module 4 of 4 10 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V40 No Connect in XC2V80 1 IO_L92P_1 E11 NC NC 1 IO_L05N_1 A11 NC NC 1 IO_L05P_1 B11 NC NC 1 IO_L04N_1 C11 NC NC 1 IO_L04P_1/VREF_1 D11 NC NC 1 IO_L03N_1/VRP_1 A12 1 IO_L03P_1/VRN_1 B12 1 IO_L02N_1 C12 1 IO_L02P_1 D12 1 IO_L01N_1 B13 1 IO_L01P_1 C13 2 IO_L01N_2 C16 2 IO_L01P_2 D16 2 IO_L02N_2/VRP_2 D14 2 IO_L02P_2/VRN_2 D15 2 IO_L03N_2 E13 2 IO_L03P_2/VREF_2 E14 2 IO_L04N_2 E15 NC 2 IO_L04P_2 E16 NC 2 IO_L06N_2 F13 NC 2 IO_L06P_2 F14 NC 2 IO_L43N_2 F15 NC NC 2 IO_L43P_2 F16 NC NC 2 IO_L45N_2 F12 NC NC 2 IO_L45P_2/VREF_2 G12 NC NC 2 IO_L91N_2 G13 NC 2 IO_L91P_2 G14 NC 2 IO_L93N_2 G15 NC 2 IO_L93P_2/VREF_2 G16 NC 2 IO_L94N_2 H13 2 IO_L94P_2 H14 2 IO_L96N_2 H15 2 IO_L96P_2 H16 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 11 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 3 IO_L96N_3 J16 3 IO_L96P_3 J15 3 IO_L94N_3 J14 3 IO_L94P_3 J13 3 IO_L93N_3/VREF_3 K16 NC 3 IO_L93P_3 K15 NC 3 IO_L91N_3 K14 NC 3 IO_L91P_3 K13 NC 3 IO_L45N_3/VREF_3 K12 NC NC 3 IO_L45P_3 L12 NC NC 3 IO_L43N_3 L16 NC NC 3 IO_L43P_3 L15 NC NC 3 IO_L06N_3 L14 NC 3 IO_L06P_3 L13 NC 3 IO_L04N_3 M16 NC 3 IO_L04P_3 M15 NC 3 IO_L03N_3/VREF_3 M14 3 IO_L03P_3 M13 3 IO_L02N_3/VRP_3 N15 3 IO_L02P_3/VRN_3 N14 3 IO_L01N_3 N16 3 IO_L01P_3 P16 4 IO_L01N_4/DOUT T14 4 IO_L01P_4/INIT_B T13 4 IO_L02N_4/D0 P13 4 IO_L02P_4/D1 R13 4 IO_L03N_4/D2/ALT_VRP_4 N12 4 IO_L03P_4/D3/ALT_VRN_4 P12 4 IO_L04N_4/VREF_4 R12 NC NC 4 IO_L04P_4 T12 NC NC 4 IO_L05N_4/VRP_4 N11 NC NC 4 IO_L05P_4/VRN_4 P11 NC NC Module 4 of 4 12 No Connect in XC2V40 www.xilinx.com 1-800-255-7778 No Connect in XC2V80 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V40 No Connect in XC2V80 4 IO_L91N_4/VREF_4 R11 NC NC 4 IO_L91P_4 T11 NC NC 4 IO_L92N_4 M11 NC NC 4 IO_L92P_4 M10 NC NC 4 IO_L93N_4 N10 NC NC 4 IO_L93P_4 P10 NC NC 4 IO_L94N_4/VREF_4 R10 4 IO_L94P_4 T10 4 IO_L95N_4/GCLK3S N9 4 IO_L95P_4/GCLK2P P9 4 IO_L96N_4/GCLK1S R9 4 IO_L96P_4/GCLK0P T9 5 IO_L96N_5/GCLK7S T8 5 IO_L96P_5/GCLK6P R8 5 IO_L95N_5/GCLK5S P8 5 IO_L95P_5/GCLK4P N8 5 IO_L94N_5 T7 5 IO_L94P_5/VREF_5 R7 5 IO_L93N_5 P7 NC NC 5 IO_L93P_5 N7 NC NC 5 IO_L92N_5 M7 NC NC 5 IO_L92P_5 M6 NC NC 5 IO_L91N_5 T6 NC NC 5 IO_L91P_5/VREF_5 R6 NC NC 5 IO_L05N_5/VRP_5 P6 NC NC 5 IO_L05P_5/VRN_5 N6 NC NC 5 IO_L04N_5 T5 NC NC 5 IO_L04P_5/VREF_5 R5 NC NC 5 IO_L03N_5/D4/ALT_VRP_5 P5 5 IO_L03P_5/D5/ALT_VRN_5 N5 5 IO_L02N_5/D6 R4 5 IO_L02P_5/D7 P4 5 IO_L01N_5/RDWR_B T4 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 13 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 5 IO_L01P_5/CS_B T3 6 IO_L01P_6 P1 6 IO_L01N_6 N1 6 IO_L02P_6/VRN_6 N3 6 IO_L02N_6/VRP_6 N2 6 IO_L03P_6 M4 6 IO_L03N_6/VREF_6 M3 6 IO_L04P_6 M2 NC 6 IO_L04N_6 M1 NC 6 IO_L06P_6 L4 NC 6 IO_L06N_6 L3 NC 6 IO_L43P_6 L2 NC NC 6 IO_L43N_6 L1 NC NC 6 IO_L45P_6 L5 NC NC 6 IO_L45N_6/VREF_6 K5 NC NC 6 IO_L91P_6 K4 NC 6 IO_L91N_6 K3 NC 6 IO_L93P_6 K2 NC 6 IO_L93N_6/VREF_6 K1 NC 6 IO_L94P_6 J4 6 IO_L94N_6 J3 6 IO_L96P_6 J2 6 IO_L96N_6 J1 7 IO_L96P_7 H1 7 IO_L96N_7 H2 7 IO_L94P_7 H3 7 IO_L94N_7 H4 7 IO_L93P_7/VREF_7 G1 NC 7 IO_L93N_7 G2 NC 7 IO_L91P_7 G3 NC 7 IO_L91N_7 G4 NC 7 IO_L45P_7/VREF_7 G5 NC Module 4 of 4 14 No Connect in XC2V40 www.xilinx.com 1-800-255-7778 No Connect in XC2V80 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V40 No Connect in XC2V80 7 IO_L45N_7 F5 NC NC 7 IO_L43P_7 F1 NC NC 7 IO_L43N_7 F2 NC NC 7 IO_L06P_7 F3 NC 7 IO_L06N_7 F4 NC 7 IO_L04P_7 E1 NC 7 IO_L04N_7 E2 NC 7 IO_L03P_7/VREF_7 E3 7 IO_L03N_7 E4 7 IO_L02P_7/VRN_7 D2 7 IO_L02N_7/VRP_7 D3 7 IO_L01P_7 D1 7 IO_L01N_7 C1 0 VCCO_0 F8 0 VCCO_0 F7 0 VCCO_0 E8 1 VCCO_1 F10 1 VCCO_1 F9 1 VCCO_1 E9 2 VCCO_2 H12 2 VCCO_2 H11 2 VCCO_2 G11 3 VCCO_3 K11 3 VCCO_3 J12 3 VCCO_3 J11 4 VCCO_4 M9 4 VCCO_4 L10 4 VCCO_4 L9 5 VCCO_5 M8 5 VCCO_5 L8 5 VCCO_5 L7 6 VCCO_6 K6 6 VCCO_6 J6 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 15 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 6 VCCO_6 J5 7 VCCO_7 H6 7 VCCO_7 H5 7 VCCO_7 G6 NA CCLK P15 NA PROG_B A2 NA DONE R14 NA M0 T2 NA M1 P2 NA M2 R3 NA HSWAP_EN B3 NA TCK A15 NA TDI C2 NA TDO C15 NA TMS B14 NA PWRDWN_B T15 NA RSVD A4 NA RSVD A3 NA VBATT A14 NA RSVD A13 NA VCCAUX R16 NA VCCAUX R1 NA VCCAUX B16 NA VCCAUX B1 NA VCCINT N13 NA VCCINT N4 NA VCCINT M12 NA VCCINT M5 NA VCCINT E12 NA VCCINT E5 NA VCCINT D13 NA VCCINT D4 Module 4 of 4 16 No Connect in XC2V40 www.xilinx.com 1-800-255-7778 No Connect in XC2V80 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 6: FG256 BGA -- XC2V40, XC2V80, XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number NA GND T16 NA GND T1 NA GND R15 NA GND R2 NA GND P14 NA GND P3 NA GND L11 NA GND L6 NA GND K10 NA GND K9 NA GND K8 NA GND K7 NA GND J10 NA GND J9 NA GND J8 NA GND J7 NA GND H10 NA GND H9 NA GND H8 NA GND H7 NA GND G10 NA GND G9 NA GND G8 NA GND G7 NA GND F11 NA GND F6 NA GND C14 NA GND C3 NA GND B15 NA GND B2 NA GND A16 NA GND A1 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V40 www.xilinx.com 1-800-255-7778 No Connect in XC2V80 Module 4 of 4 17 Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information R FG256 Fine-Pitch BGA Package Specifications (1.00mm pitch) Figure 2: FG256 Fine-Pitch BGA Package Specifications Module 4 of 4 18 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FG456 Fine-Pitch BGA Package As shown in Table 7, XC2V250, XC2V500, and XC2V1000 Virtex-II devices are available in the FG456 fine-pitch BGA package. Pins in the XC2V250, XC2V500, and XC2V1000 devices are the same, except for the pin differences in the XC2V250 and XC2V500 devices shown in the No Connect columns. Following this table are the FG456 Fine-Pitch BGA Package Specifications (1.00mm pitch). Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V250 No Connect in XC2V500 0 IO_L01N_0 B4 0 IO_L01P_0 A4 0 IO_L02N_0 C4 0 IO_L02P_0 C5 0 IO_L03N_0/VRP_0 B5 0 IO_L03P_0/VRN_0 A5 0 IO_L04N_0/VREF_0 D6 0 IO_L04P_0 C6 0 IO_L05N_0 B6 0 IO_L05P_0 A6 0 IO_L06N_0 E7 0 IO_L06P_0 E8 0 IO_L21N_0 D7 NC NC 0 IO_L21P_0/VREF_0 C7 NC NC 0 IO_L22N_0 B7 NC NC 0 IO_L22P_0 A7 NC NC 0 IO_L24N_0 D8 NC NC 0 IO_L24P_0 C8 NC NC 0 IO_L49N_0 B8 NC 0 IO_L49P_0 A8 NC 0 IO_L51N_0 E9 NC 0 IO_L51P_0/VREF_0 F9 NC 0 IO_L52N_0 D9 NC 0 IO_L52P_0 C9 NC 0 IO_L54N_0 B9 NC 0 IO_L54P_0 A9 NC 0 IO_L91N_0/VREF_0 E10 0 IO_L91P_0 F10 0 IO_L92N_0 D10 0 IO_L92P_0 C10 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 19 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 0 IO_L93N_0 B10 0 IO_L93P_0 A10 0 IO_L94N_0/VREF_0 E11 0 IO_L94P_0 F11 0 IO_L95N_0/GCLK7P D11 0 IO_L95P_0/GCLK6S C11 0 IO_L96N_0/GCLK5P B11 0 IO_L96P_0/GCLK4S A11 1 IO_L96N_1/GCLK3P F12 1 IO_L96P_1/GCLK2S F13 1 IO_L95N_1/GCLK1P E12 1 IO_L95P_1/GCLK0S D12 1 IO_L94N_1 C12 1 IO_L94P_1/VREF_1 B12 1 IO_L93N_1 A13 1 IO_L93P_1 B13 1 IO_L92N_1 C13 1 IO_L92P_1 D13 1 IO_L91N_1 E13 1 IO_L91P_1/VREF_1 E14 1 IO_L54N_1 A14 NC 1 IO_L54P_1 B14 NC 1 IO_L52N_1 C14 NC 1 IO_L52P_1 D14 NC 1 IO_L51N_1/VREF_1 A15 NC 1 IO_L51P_1 B15 NC 1 IO_L49N_1 C15 NC 1 IO_L49P_1 D15 NC 1 IO_L24N_1 F14 NC NC 1 IO_L24P_1 E15 NC NC 1 IO_L22N_1 A16 NC NC 1 IO_L22P_1 B16 NC NC 1 IO_L21N_1/VREF_1 C16 NC NC Module 4 of 4 20 No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V250 No Connect in XC2V500 1 IO_L21P_1 D16 NC NC 1 IO_L06N_1 E16 1 IO_L06P_1 E17 1 IO_L05N_1 A17 1 IO_L05P_1 B17 1 IO_L04N_1 C17 1 IO_L04P_1/VREF_1 D17 1 IO_L03N_1/VRP_1 A18 1 IO_L03P_1/VRN_1 B18 1 IO_L02N_1 C18 1 IO_L02P_1 D18 1 IO_L01N_1 A19 1 IO_L01P_1 B19 2 IO_L01N_2 C21 2 IO_L01P_2 C22 2 IO_L02N_2/VRP_2 E18 2 IO_L02P_2/VRN_2 F18 2 IO_L03N_2 D21 2 IO_L03P_2/VREF_2 D22 2 IO_L04N_2 E19 2 IO_L04P_2 E20 2 IO_L06N_2 E21 2 IO_L06P_2 E22 2 IO_L19N_2 F19 NC NC 2 IO_L19P_2 F20 NC NC 2 IO_L21N_2 F21 NC NC 2 IO_L21P_2/VREF_2 F22 NC NC 2 IO_L22N_2 G18 NC NC 2 IO_L22P_2 H18 NC NC 2 IO_L24N_2 G19 NC NC 2 IO_L24P_2 G20 NC NC 2 IO_L43N_2 G21 2 IO_L43P_2 G22 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 21 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 2 IO_L45N_2 H19 2 IO_L45P_2/VREF_2 H20 2 IO_L46N_2 H21 2 IO_L46P_2 H22 2 IO_L48N_2 J17 2 IO_L48P_2 J18 2 IO_L49N_2 J19 NC 2 IO_L49P_2 J20 NC 2 IO_L51N_2 J21 NC 2 IO_L51P_2/VREF_2 J22 NC 2 IO_L52N_2 K17 NC 2 IO_L52P_2 K18 NC 2 IO_L54N_2 K19 NC 2 IO_L54P_2 K20 NC 2 IO_L91N_2 K21 2 IO_L91P_2 K22 2 IO_L93N_2 L17 2 IO_L93P_2/VREF_2 L18 2 IO_L94N_2 L19 2 IO_L94P_2 L20 2 IO_L96N_2 L21 2 IO_L96P_2 L22 3 IO_L96N_3 M21 3 IO_L96P_3 M20 3 IO_L94N_3 M19 3 IO_L94P_3 M18 3 IO_L93N_3/VREF_3 M17 3 IO_L93P_3 N17 3 IO_L91N_3 N22 3 IO_L91P_3 N21 3 IO_L54N_3 N20 NC 3 IO_L54P_3 N19 NC 3 IO_L52N_3 N18 NC Module 4 of 4 22 No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V250 3 IO_L52P_3 P18 NC 3 IO_L51N_3/VREF_3 P22 NC 3 IO_L51P_3 P21 NC 3 IO_L49N_3 P20 NC 3 IO_L49P_3 P19 NC 3 IO_L48N_3 R22 3 IO_L48P_3 R21 3 IO_L46N_3 R20 3 IO_L46P_3 R19 3 IO_L45N_3/VREF_3 R18 3 IO_L45P_3 P17 3 IO_L43N_3 T22 3 IO_L43P_3 T21 3 IO_L24N_3 T20 NC NC 3 IO_L24P_3 T19 NC NC 3 IO_L22N_3 U22 NC NC 3 IO_L22P_3 U21 NC NC 3 IO_L21N_3/VREF_3 U20 NC NC 3 IO_L21P_3 U19 NC NC 3 IO_L19N_3 T18 NC NC 3 IO_L19P_3 U18 NC NC 3 IO_L06N_3 V22 3 IO_L06P_3 V21 3 IO_L04N_3 V20 3 IO_L04P_3 V19 3 IO_L03N_3/VREF_3 W22 3 IO_L03P_3 W21 3 IO_L02N_3/VRP_3 Y22 3 IO_L02P_3/VRN_3 Y21 3 IO_L01N_3 W20 3 IO_L01P_3 AA20 4 IO_L01N_4/DOUT AB19 4 IO_L01P_4/INIT_B AA19 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V500 Module 4 of 4 23 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V250 No Connect in XC2V500 4 IO_L02N_4/D0 V18 4 IO_L02P_4/D1 V17 4 IO_L03N_4/D2/ALT_VRP_4 W18 4 IO_L03P_4/D3/ALT_VRN_4 Y18 4 IO_L04N_4/VREF_4 AA18 4 IO_L04P_4 AB18 4 IO_L05N_4/VRP_4 W17 4 IO_L05P_4/VRN_4 Y17 4 IO_L06N_4 AA17 4 IO_L06P_4 AB17 4 IO_L19N_4 V16 NC NC 4 IO_L19P_4 V15 NC NC 4 IO_L21N_4 W16 NC NC 4 IO_L21P_4/VREF_4 Y16 NC NC 4 IO_L22N_4 AA16 NC NC 4 IO_L22P_4 AB16 NC NC 4 IO_L24N_4 W15 NC NC 4 IO_L24P_4 Y15 NC NC 4 IO_L49N_4 AA15 NC 4 IO_L49P_4 AB15 NC 4 IO_L51N_4 U14 NC 4 IO_L51P_4/VREF_4 V14 NC 4 IO_L52N_4 W14 NC 4 IO_L52P_4 Y14 NC 4 IO_L54N_4 AA14 NC 4 IO_L54P_4 AB14 NC 4 IO_L91N_4/VREF_4 U13 4 IO_L91P_4 V13 4 IO_L92N_4 W13 4 IO_L92P_4 Y13 4 IO_L93N_4 AA13 4 IO_L93P_4 AB13 4 IO_L94N_4/VREF_4 U12 4 IO_L94P_4 V12 Module 4 of 4 24 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 4 IO_L95N_4/GCLK3S W12 4 IO_L95P_4/GCLK2P Y12 4 IO_L96N_4/GCLK1S AA12 4 IO_L96P_4/GCLK0P AB12 5 IO_L96N_5/GCLK7S AA11 5 IO_L96P_5/GCLK6P Y11 5 IO_L95N_5/GCLK5S W11 5 IO_L95P_5/GCLK4P V11 5 IO_L94N_5 U11 5 IO_L94P_5/VREF_5 U10 5 IO_L93N_5 AB10 5 IO_L93P_5 AA10 5 IO_L92N_5 Y10 5 IO_L92P_5 W10 5 IO_L91N_5 V10 5 IO_L91P_5/VREF_5 V9 5 IO_L54N_5 AB9 NC 5 IO_L54P_5 AA9 NC 5 IO_L52N_5 Y9 NC 5 IO_L52P_5 W9 NC 5 IO_L51N_5/VREF_5 AB8 NC 5 IO_L51P_5 AA8 NC 5 IO_L49N_5 Y8 NC 5 IO_L49P_5 W8 NC 5 IO_L24N_5 U9 NC NC 5 IO_L24P_5 V8 NC NC 5 IO_L22N_5 AB7 NC NC 5 IO_L22P_5 AA7 NC NC 5 IO_L21N_5/VREF_5 Y7 NC NC 5 IO_L21P_5 W7 NC NC 5 IO_L19N_5 AB6 NC NC 5 IO_L19P_5 AA6 NC NC 5 IO_L06N_5 Y6 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 Module 4 of 4 25 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V250 No Connect in XC2V500 5 IO_L06P_5 W6 5 IO_L05N_5/VRP_5 V7 5 IO_L05P_5/VRN_5 V6 5 IO_L04N_5 AB5 5 IO_L04P_5/VREF_5 AA5 5 IO_L03N_5/D4/ALT_VRP_5 Y5 5 IO_L03P_5/D5/ALT_VRN_5 W5 5 IO_L02N_5/D6 AB4 5 IO_L02P_5/D7 AA4 5 IO_L01N_5/RDWR_B Y4 5 IO_L01P_5/CS_B AA3 6 IO_L01P_6 V5 6 IO_L01N_6 U5 6 IO_L02P_6/VRN_6 Y2 6 IO_L02N_6/VRP_6 Y1 6 IO_L03P_6 V4 6 IO_L03N_6/VREF_6 V3 6 IO_L04P_6 W2 6 IO_L04N_6 W1 6 IO_L06P_6 U4 6 IO_L06N_6 U3 6 IO_L19P_6 V2 NC NC 6 IO_L19N_6 V1 NC NC 6 IO_L21P_6 U2 NC NC 6 IO_L21N_6/VREF_6 U1 NC NC 6 IO_L22P_6 T5 NC NC 6 IO_L22N_6 R5 NC NC 6 IO_L24P_6 T4 NC NC 6 IO_L24N_6 T3 NC NC 6 IO_L43P_6 T2 6 IO_L43N_6 T1 6 IO_L45P_6 R4 6 IO_L45N_6/VREF_6 R3 Module 4 of 4 26 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 6 IO_L46P_6 R2 6 IO_L46N_6 R1 6 IO_L48P_6 P6 6 IO_L48N_6 P5 6 IO_L49P_6 P4 NC 6 IO_L49N_6 P3 NC 6 IO_L51P_6 P2 NC 6 IO_L51N_6/VREF_6 P1 NC 6 IO_L52P_6 N6 NC 6 IO_L52N_6 N5 NC 6 IO_L54P_6 N4 NC 6 IO_L54N_6 N3 NC 6 IO_L91P_6 N2 6 IO_L91N_6 N1 6 IO_L93P_6 M6 6 IO_L93N_6/VREF_6 M5 6 IO_L94P_6 M4 6 IO_L94N_6 M3 6 IO_L96P_6 M2 6 IO_L96N_6 M1 7 IO_L96P_7 L2 7 IO_L96N_7 L3 7 IO_L94P_7 L4 7 IO_L94N_7 L5 7 IO_L93P_7/VREF_7 K1 7 IO_L93N_7 K2 7 IO_L91P_7 K3 7 IO_L91N_7 K4 7 IO_L54P_7 L6 NC 7 IO_L54N_7 K6 NC 7 IO_L52P_7 K5 NC 7 IO_L52N_7 J5 NC 7 IO_L51P_7/VREF_7 J1 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 Module 4 of 4 27 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number No Connect in XC2V250 7 IO_L51N_7 J2 NC 7 IO_L49P_7 J3 NC 7 IO_L49N_7 J4 NC 7 IO_L48P_7 H1 7 IO_L48N_7 H2 7 IO_L46P_7 H3 7 IO_L46N_7 H4 7 IO_L45P_7/VREF_7 J6 7 IO_L45N_7 H5 7 IO_L43P_7 G1 7 IO_L43N_7 G2 7 IO_L24P_7 G3 NC NC 7 IO_L24N_7 G4 NC NC 7 IO_L22P_7 F1 NC NC 7 IO_L22N_7 F2 NC NC 7 IO_L21P_7/VREF_7 F3 NC NC 7 IO_L21N_7 F4 NC NC 7 IO_L19P_7 G5 NC NC 7 IO_L19N_7 F5 NC NC 7 IO_L06P_7 E1 7 IO_L06N_7 E2 7 IO_L04P_7 E3 7 IO_L04N_7 E4 7 IO_L03P_7/VREF_7 D1 7 IO_L03N_7 D2 7 IO_L02P_7/VRN_7 C1 7 IO_L02N_7/VRP_7 C2 7 IO_L01P_7 E5 7 IO_L01N_7 E6 0 VCCO_0 G11 0 VCCO_0 G10 0 VCCO_0 G9 0 VCCO_0 F8 Module 4 of 4 28 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 0 VCCO_0 F7 1 VCCO_1 G14 1 VCCO_1 G13 1 VCCO_1 G12 1 VCCO_1 F16 1 VCCO_1 F15 2 VCCO_2 L16 2 VCCO_2 K16 2 VCCO_2 J16 2 VCCO_2 H17 2 VCCO_2 G17 3 VCCO_3 T17 3 VCCO_3 R17 3 VCCO_3 P16 3 VCCO_3 N16 3 VCCO_3 M16 4 VCCO_4 U16 4 VCCO_4 U15 4 VCCO_4 T14 4 VCCO_4 T13 4 VCCO_4 T12 5 VCCO_5 U8 5 VCCO_5 U7 5 VCCO_5 T11 5 VCCO_5 T10 5 VCCO_5 T9 6 VCCO_6 T6 6 VCCO_6 R6 6 VCCO_6 P7 6 VCCO_6 N7 6 VCCO_6 M7 7 VCCO_7 L7 7 VCCO_7 K7 7 VCCO_7 J7 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 Module 4 of 4 29 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number 7 VCCO_7 H6 7 VCCO_7 G6 NA CCLK Y19 NA PROG_B A2 NA DONE AB20 NA M0 AB2 NA M1 W3 NA M2 AB3 NA HSWAP_EN B3 NA TCK C19 NA TDI D3 NA TDO D20 NA TMS B20 NA PWRDWN_B AB21 NA DXN D5 NA DXP A3 NA VBATT A21 NA RSVD A20 NA VCCAUX AB11 NA VCCAUX AA22 NA VCCAUX AA1 NA VCCAUX M22 NA VCCAUX L1 NA VCCAUX B22 NA VCCAUX B1 NA VCCAUX A12 NA VCCINT U17 NA VCCINT U6 NA VCCINT T16 NA VCCINT T15 NA VCCINT T8 NA VCCINT T7 Module 4 of 4 30 No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number NA VCCINT R16 NA VCCINT R7 NA VCCINT H16 NA VCCINT H7 NA VCCINT G16 NA VCCINT G15 NA VCCINT G8 NA VCCINT G7 NA VCCINT F17 NA VCCINT F6 NA GND AB22 NA GND AB1 NA GND AA21 NA GND AA2 NA GND Y20 NA GND Y3 NA GND W19 NA GND W4 NA GND P14 NA GND P13 NA GND P12 NA GND P11 NA GND P10 NA GND P9 NA GND N14 NA GND N13 NA GND N12 NA GND N11 NA GND N10 NA GND N9 NA GND M14 NA GND M13 NA GND M12 NA GND M11 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 Module 4 of 4 31 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 7: FG456 BGA -- XC2V250, XC2V500, and XC2V1000 Bank Pin Description Pin Number NA GND M10 NA GND M9 NA GND L14 NA GND L13 NA GND L12 NA GND L11 NA GND L10 NA GND L9 NA GND K14 NA GND K13 NA GND K12 NA GND K11 NA GND K10 NA GND K9 NA GND J14 NA GND J13 NA GND J12 NA GND J11 NA GND J10 NA GND J9 NA GND D19 NA GND D4 NA GND C20 NA GND C3 NA GND B21 NA GND B2 NA GND A22 NA GND A1 Module 4 of 4 32 No Connect in XC2V250 www.xilinx.com 1-800-255-7778 No Connect in XC2V500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FG456 Fine-Pitch BGA Package Specifications (1.00mm pitch) Figure 3: FG456 Fine-Pitch BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 33 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FG676 Fine-Pitch BGA Package As shown in Table 8, XC2V1500, XC2V2000, and XC2V3000 Virtex-II devices are available in the FG676 fine-pitch BGA package. Pins in the XC2V1500, XC2V2000, and XC2V3000 devices are the same, except for the pin differences in the XC2V1500 and XC2V2000 devices shown in the No Connect columns. Following this table are the FG676 Fine-Pitch BGA Package Specifications (1.00mm pitch). Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 No Connect in XC2V2000 0 IO_L01N_0 D6 0 IO_L01P_0 C6 0 IO_L02N_0 B1 0 IO_L02P_0 A2 0 IO_L03N_0/VRP_0 D7 0 IO_L03P_0/VRN_0 C7 0 IO_L04N_0/VREF_0 B3 0 IO_L04P_0 A3 0 IO_L05N_0 G6 0 IO_L05P_0 G7 0 IO_L06N_0 E6 0 IO_L06P_0 E7 0 IO_L19N_0 B4 0 IO_L19P_0 A4 0 IO_L21N_0 B5 0 IO_L21P_0/VREF_0 A5 0 IO_L22N_0 B6 0 IO_L22P_0 A6 0 IO_L24N_0 A7 0 IO_L24P_0 A8 0 IO_L25N_0 E8 NC NC 0 IO_L25P_0 D8 NC NC 0 IO_L27N_0 G8 NC NC 0 IO_L27P_0/VREF_0 F8 NC NC 0 IO_L49N_0 C8 0 IO_L49P_0 B8 0 IO_L51N_0 D9 0 IO_L51P_0/VREF_0 E9 0 IO_L52N_0 F9 0 IO_L52P_0 G9 0 IO_L54N_0 B9 0 IO_L54P_0 A9 0 IO_L67N_0 C9 Module 4 of 4 34 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 0 IO_L67P_0 C10 0 IO_L69N_0 F10 0 IO_L69P_0/VREF_0 G10 0 IO_L70N_0 E10 0 IO_L70P_0 D10 0 IO_L72N_0 A10 0 IO_L72P_0 A11 0 IO_L73N_0 F11 NC 0 IO_L73P_0 E11 NC 0 IO_L75N_0 G11 NC 0 IO_L75P_0/VREF_0 H11 NC 0 IO_L76N_0 D11 NC 0 IO_L76P_0 C11 NC 0 IO_L78N_0 B11 NC 0 IO_L78P_0 B12 NC 0 IO_L91N_0/VREF_0 G12 0 IO_L91P_0 H12 0 IO_L92N_0 F12 0 IO_L92P_0 E12 0 IO_L93N_0 D12 0 IO_L93P_0 C12 0 IO_L94N_0/VREF_0 G13 0 IO_L94P_0 H13 0 IO_L95N_0/GCLK7P F13 0 IO_L95P_0/GCLK6S E13 0 IO_L96N_0/GCLK5P D13 0 IO_L96P_0/GCLK4S C13 1 IO_L96N_1/GCLK3P H14 1 IO_L96P_1/GCLK2S H15 1 IO_L95N_1/GCLK1P G14 1 IO_L95P_1/GCLK0S F14 1 IO_L94N_1 E14 1 IO_L94P_1/VREF_1 D14 1 IO_L93N_1 A12 1 IO_L93P_1 A13 1 IO_L92N_1 A14 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 35 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 1 IO_L92P_1 A15 1 IO_L91N_1 B15 1 IO_L91P_1/VREF_1 C15 1 IO_L78N_1 D15 NC 1 IO_L78P_1 E15 NC 1 IO_L76N_1 F15 NC 1 IO_L76P_1 G15 NC 1 IO_L75N_1/VREF_1 G16 NC 1 IO_L75P_1 F16 NC 1 IO_L73N_1 A16 NC 1 IO_L73P_1 A17 NC 1 IO_L72N_1 B16 1 IO_L72P_1 C16 1 IO_L70N_1 D16 1 IO_L70P_1 E16 1 IO_L69N_1/VREF_1 C17 1 IO_L69P_1 D17 1 IO_L67N_1 H16 1 IO_L67P_1 G17 1 IO_L54N_1 E17 1 IO_L54P_1 F17 1 IO_L52N_1 A18 1 IO_L52P_1 A19 1 IO_L51N_1/VREF_1 E18 1 IO_L51P_1 D18 1 IO_L49N_1 B18 1 IO_L49P_1 C18 1 IO_L27N_1/VREF_1 F19 NC NC 1 IO_L27P_1 F18 NC NC 1 IO_L25N_1 G18 NC NC 1 IO_L25P_1 G19 NC NC 1 IO_L24N_1 B19 1 IO_L24P_1 C19 1 IO_L22N_1 D19 1 IO_L22P_1 E19 1 IO_L21N_1/VREF_1 A20 1 IO_L21P_1 A21 Module 4 of 4 36 No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 No Connect in XC2V2000 1 IO_L19N_1 E20 1 IO_L19P_1 F20 1 IO_L06N_1 B21 1 IO_L06P_1 B22 1 IO_L05N_1 A22 1 IO_L05P_1 A23 1 IO_L04N_1 C21 1 IO_L04P_1/VREF_1 D21 1 IO_L03N_1/VRP_1 C20 1 IO_L03P_1/VRN_1 D20 1 IO_L02N_1 A24 1 IO_L02P_1 A25 1 IO_L01N_1 B23 1 IO_L01P_1 B24 2 IO_L01N_2 B26 2 IO_L01P_2 C26 2 IO_L02N_2/VRP_2 G20 2 IO_L02P_2/VRN_2 H20 2 IO_L03N_2 C25 2 IO_L03P_2/VREF_2 D25 2 IO_L04N_2 E23 2 IO_L04P_2 E24 2 IO_L06N_2 G21 2 IO_L06P_2 G22 2 IO_L19N_2 D26 2 IO_L19P_2 E26 2 IO_L21N_2 F23 2 IO_L21P_2/VREF_2 F24 2 IO_L22N_2 E25 2 IO_L22P_2 F25 2 IO_L24N_2 H22 2 IO_L24P_2 H21 2 IO_L25N_2 G23 NC NC 2 IO_L25P_2 G24 NC NC 2 IO_L43N_2 F26 2 IO_L43P_2 G26 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 37 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 2 IO_L45N_2 H23 2 IO_L45P_2/VREF_2 H24 2 IO_L46N_2 J21 2 IO_L46P_2 J20 2 IO_L48N_2 H25 2 IO_L48P_2 H26 2 IO_L49N_2 J22 2 IO_L49P_2 J23 2 IO_L51N_2 K21 2 IO_L51P_2/VREF_2 K22 2 IO_L52N_2 K20 2 IO_L52P_2 L20 2 IO_L54N_2 J24 2 IO_L54P_2 J25 2 IO_L67N_2 K23 2 IO_L67P_2 K24 2 IO_L69N_2 J26 2 IO_L69P_2/VREF_2 K26 2 IO_L70N_2 L22 2 IO_L70P_2 L21 2 IO_L72N_2 L25 2 IO_L72P_2 L26 2 IO_L73N_2 L19 NC 2 IO_L73P_2 M19 NC 2 IO_L75N_2 L23 NC 2 IO_L75P_2/VREF_2 L24 NC 2 IO_L76N_2 M22 NC 2 IO_L76P_2 M21 NC 2 IO_L78N_2 M23 NC 2 IO_L78P_2 M24 NC 2 IO_L91N_2 M25 2 IO_L91P_2 M26 2 IO_L93N_2 M20 2 IO_L93P_2/VREF_2 N20 2 IO_L94N_2 N22 2 IO_L94P_2 N21 2 IO_L96N_2 N24 Module 4 of 4 38 No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 2 IO_L96P_2 N23 3 IO_L96N_3 N26 3 IO_L96P_3 P26 3 IO_L94N_3 P23 3 IO_L94P_3 P22 3 IO_L93N_3/VREF_3 P19 3 IO_L93P_3 N19 3 IO_L91N_3 P21 3 IO_L91P_3 P20 3 IO_L78N_3 R26 NC 3 IO_L78P_3 R25 NC 3 IO_L76N_3 R20 NC 3 IO_L76P_3 R19 NC 3 IO_L75N_3/VREF_3 R24 NC 3 IO_L75P_3 R23 NC 3 IO_L73N_3 R22 NC 3 IO_L73P_3 R21 NC 3 IO_L72N_3 T26 3 IO_L72P_3 T25 3 IO_L70N_3 T20 3 IO_L70P_3 T19 3 IO_L69N_3/VREF_3 T24 3 IO_L69P_3 T23 3 IO_L67N_3 T22 3 IO_L67P_3 T21 3 IO_L54N_3 U26 3 IO_L54P_3 V26 3 IO_L52N_3 U24 3 IO_L52P_3 U23 3 IO_L51N_3/VREF_3 U22 3 IO_L51P_3 U21 3 IO_L49N_3 V25 3 IO_L49P_3 V24 3 IO_L48N_3 V23 3 IO_L48P_3 V22 3 IO_L46N_3 W26 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 39 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 No Connect in XC2V2000 3 IO_L46P_3 Y26 3 IO_L45N_3/VREF_3 U20 3 IO_L45P_3 V20 3 IO_L43N_3 W25 3 IO_L43P_3 W24 3 IO_L25N_3 V21 NC NC 3 IO_L25P_3 W21 NC NC 3 IO_L24N_3 AA26 3 IO_L24P_3 AA25 3 IO_L22N_3 Y24 3 IO_L22P_3 Y23 3 IO_L21N_3/VREF_3 W22 3 IO_L21P_3 W23 3 IO_L19N_3 AB26 3 IO_L19P_3 AB25 3 IO_L06N_3 AC26 3 IO_L06P_3 AC25 3 IO_L04N_3 AD26 3 IO_L04P_3 AD25 3 IO_L03N_3/VREF_3 AA24 3 IO_L03P_3 AA23 3 IO_L02N_3/VRP_3 AB24 3 IO_L02P_3/VRN_3 AB23 3 IO_L01N_3 Y22 3 IO_L01P_3 AA22 4 IO_L01N_4/DOUT AD21 4 IO_L01P_4/INIT_B AC21 4 IO_L02N_4/D0 Y20 4 IO_L02P_4/D1 Y19 4 IO_L03N_4/D2/ALT_VRP_4 AA20 4 IO_L03P_4/D3/ALT_VRN_4 AB20 4 IO_L04N_4/VREF_4 AC22 4 IO_L04P_4 AE21 4 IO_L05N_4/VRP_4 AE26 4 IO_L05P_4/VRN_4 AF25 4 IO_L06N_4 W20 Module 4 of 4 40 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 No Connect in XC2V2000 4 IO_L06P_4 Y21 4 IO_L19N_4 AE24 4 IO_L19P_4 AF24 4 IO_L21N_4 AE23 4 IO_L21P_4/VREF_4 AF23 4 IO_L22N_4 AE22 4 IO_L22P_4 AF22 4 IO_L24N_4 AF21 4 IO_L24P_4 AF20 4 IO_L25N_4 AA19 NC NC 4 IO_L25P_4 AB19 NC NC 4 IO_L27N_4 AD20 NC NC 4 IO_L27P_4/VREF_4 AC20 NC NC 4 IO_L28N_4 AC19 NC NC 4 IO_L28P_4 AD19 NC NC 4 IO_L49N_4 AE19 4 IO_L49P_4 AF19 4 IO_L51N_4 AA18 4 IO_L51P_4/VREF_4 AB18 4 IO_L52N_4 Y18 4 IO_L52P_4 Y17 4 IO_L54N_4 AC18 4 IO_L54P_4 AD18 4 IO_L67N_4 AE18 4 IO_L67P_4 AF18 4 IO_L69N_4 AA17 4 IO_L69P_4/VREF_4 AB17 4 IO_L70N_4 AC17 4 IO_L70P_4 AD17 4 IO_L72N_4 AF17 4 IO_L72P_4 AF16 4 IO_L73N_4 AB16 NC 4 IO_L73P_4 AC16 NC 4 IO_L75N_4 AA16 NC 4 IO_L75P_4/VREF_4 Y16 NC 4 IO_L76N_4 AD16 NC 4 IO_L76P_4 AE16 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 41 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 4 IO_L78N_4 Y15 NC 4 IO_L78P_4 AA15 NC 4 IO_L91N_4/VREF_4 W15 4 IO_L91P_4 W16 4 IO_L92N_4 AB15 4 IO_L92P_4 AC15 4 IO_L93N_4 AD15 4 IO_L93P_4 AE15 4 IO_L94N_4/VREF_4 W14 4 IO_L94P_4 Y14 4 IO_L95N_4/GCLK3S AA14 4 IO_L95P_4/GCLK2P AB14 4 IO_L96N_4/GCLK1S AC14 4 IO_L96P_4/GCLK0P AD14 5 IO_L96N_5/GCLK7S AC13 5 IO_L96P_5/GCLK6P AB13 5 IO_L95N_5/GCLK5S AA13 5 IO_L95P_5/GCLK4P Y13 5 IO_L94N_5 W13 5 IO_L94P_5/VREF_5 W12 5 IO_L93N_5 AF15 5 IO_L93P_5 AF14 5 IO_L92N_5 AF13 5 IO_L92P_5 AF12 5 IO_L91N_5 AE12 5 IO_L91P_5/VREF_5 AD12 5 IO_L78N_5 AC12 NC 5 IO_L78P_5 AB12 NC 5 IO_L76N_5 AA12 NC 5 IO_L76P_5 Y12 NC 5 IO_L75N_5/VREF_5 AF11 NC 5 IO_L75P_5 AF10 NC 5 IO_L73N_5 AE11 NC 5 IO_L73P_5 AD11 NC 5 IO_L72N_5 AC11 5 IO_L72P_5 AB11 Module 4 of 4 42 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 No Connect in XC2V2000 5 IO_L70N_5 W11 5 IO_L70P_5 Y10 5 IO_L69N_5/VREF_5 Y11 5 IO_L69P_5 AA11 5 IO_L67N_5 AF9 5 IO_L67P_5 AF8 5 IO_L54N_5 AE9 5 IO_L54P_5 AD9 5 IO_L52N_5 AB10 5 IO_L52P_5 AA10 5 IO_L51N_5/VREF_5 AD10 5 IO_L51P_5 AC10 5 IO_L49N_5 AE8 5 IO_L49P_5 AF7 5 IO_L28N_5 AD8 NC NC 5 IO_L28P_5 AC8 NC NC 5 IO_L27N_5/VREF_5 AB9 NC NC 5 IO_L27P_5 AC9 NC NC 5 IO_L25N_5 AA9 NC NC 5 IO_L25P_5 Y9 NC NC 5 IO_L24N_5 AF6 5 IO_L24P_5 AE6 5 IO_L22N_5 AB8 5 IO_L22P_5 AA8 5 IO_L21N_5/VREF_5 AC7 5 IO_L21P_5 AD7 5 IO_L19N_5 AF5 5 IO_L19P_5 AE5 5 IO_L06N_5 AF4 5 IO_L06P_5 AE4 5 IO_L05N_5/VRP_5 AF3 5 IO_L05P_5/VRN_5 AE3 5 IO_L04N_5 Y8 5 IO_L04P_5/VREF_5 Y7 5 IO_L03N_5/D4/ALT_VRP_5 AB7 5 IO_L03P_5/D5/ALT_VRN_5 AA7 5 IO_L02N_5/D6 AD6 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 43 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 No Connect in XC2V2000 5 IO_L02P_5/D7 AC6 5 IO_L01N_5/RDWR_B AB6 5 IO_L01P_5/CS_B AC5 6 IO_L01P_6 AF2 6 IO_L01N_6 AE1 6 IO_L02P_6/VRN_6 AB4 6 IO_L02N_6/VRP_6 AB3 6 IO_L03P_6 AD2 6 IO_L03N_6/VREF_6 AD1 6 IO_L04P_6 AC2 6 IO_L04N_6 AC1 6 IO_L06P_6 AB2 6 IO_L06N_6 AB1 6 IO_L19P_6 AA4 6 IO_L19N_6 AA3 6 IO_L21P_6 Y6 6 IO_L21N_6/VREF_6 Y5 6 IO_L22P_6 W6 6 IO_L22N_6 W7 6 IO_L24P_6 AA2 6 IO_L24N_6 AA1 6 IO_L25P_6 Y4 NC NC 6 IO_L25N_6 Y3 NC NC 6 IO_L43P_6 W5 6 IO_L43N_6 W4 6 IO_L45P_6 W2 6 IO_L45N_6/VREF_6 W3 6 IO_L46P_6 Y1 6 IO_L46N_6 W1 6 IO_L48P_6 V6 6 IO_L48N_6 V7 6 IO_L49P_6 V5 6 IO_L49N_6 V4 6 IO_L51P_6 V3 6 IO_L51N_6/VREF_6 V2 6 IO_L52P_6 V1 Module 4 of 4 44 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 6 IO_L52N_6 U1 6 IO_L54P_6 U7 6 IO_L54N_6 T7 6 IO_L67P_6 U4 6 IO_L67N_6 U3 6 IO_L69P_6 U6 6 IO_L69N_6/VREF_6 U5 6 IO_L70P_6 T5 6 IO_L70N_6 T6 6 IO_L72P_6 T8 6 IO_L72N_6 R8 6 IO_L73P_6 T2 NC 6 IO_L73N_6 T1 NC 6 IO_L75P_6 T4 NC 6 IO_L75N_6/VREF_6 T3 NC 6 IO_L76P_6 R6 NC 6 IO_L76N_6 R5 NC 6 IO_L78P_6 R4 NC 6 IO_L78N_6 R3 NC 6 IO_L91P_6 R2 6 IO_L91N_6 R1 6 IO_L93P_6 R7 6 IO_L93N_6/VREF_6 P7 6 IO_L94P_6 P6 6 IO_L94N_6 P5 6 IO_L96P_6 P4 6 IO_L96N_6 P3 7 IO_L96P_7 P1 7 IO_L96N_7 N1 7 IO_L94P_7 N4 7 IO_L94N_7 N5 7 IO_L93P_7/VREF_7 N6 7 IO_L93N_7 N7 7 IO_L91P_7 P8 7 IO_L91N_7 N8 7 IO_L78P_7 M1 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 NC Module 4 of 4 45 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V1500 7 IO_L78N_7 M2 NC 7 IO_L76P_7 M5 NC 7 IO_L76N_7 M6 NC 7 IO_L75P_7/VREF_7 M3 NC 7 IO_L75N_7 M4 NC 7 IO_L73P_7 M7 NC 7 IO_L73N_7 M8 NC 7 IO_L72P_7 L1 7 IO_L72N_7 L2 7 IO_L70P_7 L5 7 IO_L70N_7 L6 7 IO_L69P_7/VREF_7 L3 7 IO_L69N_7 L4 7 IO_L67P_7 K1 7 IO_L67N_7 J1 7 IO_L54P_7 K3 7 IO_L54N_7 K4 7 IO_L52P_7 K5 7 IO_L52N_7 K6 7 IO_L51P_7/VREF_7 L8 7 IO_L51N_7 L7 7 IO_L49P_7 J2 7 IO_L49N_7 H1 7 IO_L48P_7 J3 7 IO_L48N_7 J4 7 IO_L46P_7 J5 7 IO_L46N_7 J6 7 IO_L45P_7/VREF_7 H5 7 IO_L45N_7 H4 7 IO_L43P_7 K7 7 IO_L43N_7 J7 7 IO_L25P_7 H2 NC NC 7 IO_L25N_7 H3 NC NC 7 IO_L24P_7 G1 7 IO_L24N_7 F1 7 IO_L22P_7 G3 7 IO_L22N_7 G4 Module 4 of 4 46 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 7 IO_L21P_7/VREF_7 F3 7 IO_L21N_7 F2 7 IO_L19P_7 H6 7 IO_L19N_7 H7 7 IO_L06P_7 E1 7 IO_L06N_7 E2 7 IO_L04P_7 D1 7 IO_L04N_7 D2 7 IO_L03P_7/VREF_7 C1 7 IO_L03N_7 C2 7 IO_L02P_7/VRN_7 E3 7 IO_L02N_7/VRP_7 E4 7 IO_L01P_7 G5 7 IO_L01N_7 F4 0 VCCO_0 J13 0 VCCO_0 J12 0 VCCO_0 J11 0 VCCO_0 H10 0 VCCO_0 H9 0 VCCO_0 B10 0 VCCO_0 B7 1 VCCO_1 B17 1 VCCO_1 J16 1 VCCO_1 J15 1 VCCO_1 J14 1 VCCO_1 H18 1 VCCO_1 H17 1 VCCO_1 B20 2 VCCO_2 N18 2 VCCO_2 M18 2 VCCO_2 L18 2 VCCO_2 K25 2 VCCO_2 K19 2 VCCO_2 J19 2 VCCO_2 G25 3 VCCO_3 Y25 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 47 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number 3 VCCO_3 V19 3 VCCO_3 U25 3 VCCO_3 U19 3 VCCO_3 T18 3 VCCO_3 R18 3 VCCO_3 P18 4 VCCO_4 AE20 4 VCCO_4 AE17 4 VCCO_4 W18 4 VCCO_4 W17 4 VCCO_4 V16 4 VCCO_4 V15 4 VCCO_4 V14 5 VCCO_5 AE10 5 VCCO_5 AE7 5 VCCO_5 W10 5 VCCO_5 W9 5 VCCO_5 V13 5 VCCO_5 V12 5 VCCO_5 V11 6 VCCO_6 Y2 6 VCCO_6 V8 6 VCCO_6 U8 6 VCCO_6 U2 6 VCCO_6 T9 6 VCCO_6 R9 6 VCCO_6 P9 7 VCCO_7 N9 7 VCCO_7 M9 7 VCCO_7 L9 7 VCCO_7 K8 7 VCCO_7 K2 7 VCCO_7 J8 7 VCCO_7 G2 NA CCLK AB21 NA PROG_B C4 Module 4 of 4 48 No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number NA DONE AD22 NA M0 AD4 NA M1 AA5 NA M2 AD5 NA HSWAP_EN D5 NA TCK E21 NA TDI F5 NA TDO F22 NA TMS D22 NA PWRDWN_B AD23 NA DXN F7 NA DXP C5 NA VBATT C23 NA RSVD C22 NA VCCAUX AD13 NA VCCAUX AC24 NA VCCAUX AC3 NA VCCAUX P24 NA VCCAUX N3 NA VCCAUX D24 NA VCCAUX D3 NA VCCAUX C14 NA VCCINT W19 NA VCCINT W8 NA VCCINT V18 NA VCCINT V17 NA VCCINT V10 NA VCCINT V9 NA VCCINT U18 NA VCCINT U9 NA VCCINT K18 NA VCCINT K9 NA VCCINT J18 NA VCCINT J17 NA VCCINT J10 NA VCCINT J9 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 49 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number NA VCCINT H19 NA VCCINT H8 NA GND AF26 NA GND AF1 NA GND AE25 NA GND AE14 NA GND AE13 NA GND AE2 NA GND AD24 NA GND AD3 NA GND AC23 NA GND AC4 NA GND AB22 NA GND AB5 NA GND AA21 NA GND AA6 NA GND U17 NA GND U16 NA GND U15 NA GND U14 NA GND U13 NA GND U12 NA GND U11 NA GND U10 NA GND T17 NA GND T16 NA GND T15 NA GND T14 NA GND T13 NA GND T12 NA GND T11 NA GND T10 NA GND R17 NA GND R16 NA GND R15 NA GND R14 NA GND R13 Module 4 of 4 50 No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number NA GND R12 NA GND R11 NA GND R10 NA GND P25 NA GND P17 NA GND P16 NA GND P15 NA GND P14 NA GND P13 NA GND P12 NA GND P11 NA GND P10 NA GND P2 NA GND N25 NA GND N17 NA GND N16 NA GND N15 NA GND N14 NA GND N13 NA GND N12 NA GND N11 NA GND N10 NA GND N2 NA GND M17 NA GND M16 NA GND M15 NA GND M14 NA GND M13 NA GND M12 NA GND M11 NA GND M10 NA GND L17 NA GND L16 NA GND L15 NA GND L14 NA GND L13 NA GND L12 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 51 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 8: FG676 BGA -- XC2V1500, XC2V2000, and XC2V3000 Bank Pin Description Pin Number NA GND L11 NA GND L10 NA GND K17 NA GND K16 NA GND K15 NA GND K14 NA GND K13 NA GND K12 NA GND K11 NA GND K10 NA GND F21 NA GND F6 NA GND E22 NA GND E5 NA GND D23 NA GND D4 NA GND C24 NA GND C3 NA GND B25 NA GND B14 NA GND B13 NA GND B2 NA GND A26 NA GND A1 Module 4 of 4 52 No Connect in XC2V1500 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FG676 Fine-Pitch BGA Package Specifications (1.00mm pitch) Figure 4: FG676 Fine-Pitch BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 53 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information BG575 Standard BGA Package As shown in Table 9, XC2V1000, XC2V1500, and XC2V2000 Virtex-II devices are available in the BG575 BGA package. Pins in the XC2V1000, XC2V1500, and XC2V2000 devices are the same, except for the pin differences in the XC2V1000 and XC2V1500 devices shown in the No Connect columns. Following this table are the BG575 Standard BGA Package Specifications (1.27mm pitch). Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 0 IO_L01N_0 A3 0 IO_L01P_0 A4 0 IO_L02N_0 D5 0 IO_L02P_0 C5 0 IO_L03N_0/VRP_0 E6 0 IO_L03P_0/VRN_0 D6 0 IO_L04N_0/VREF_0 F7 0 IO_L04P_0 E7 0 IO_L05N_0 G8 0 IO_L05P_0 H9 0 IO_L06N_0 A5 0 IO_L06P_0 A6 0 IO_L19N_0 B5 0 IO_L19P_0 B6 0 IO_L21N_0 D7 0 IO_L21P_0/VREF_0 C7 0 IO_L22N_0 F8 0 IO_L22P_0 E8 0 IO_L24N_0 G9 0 IO_L24P_0 F9 0 IO_L49N_0 G10 0 IO_L49P_0 H10 0 IO_L51N_0 B7 0 IO_L51P_0/VREF_0 B8 0 IO_L52N_0 D8 0 IO_L52P_0 C8 0 IO_L54N_0 E9 0 IO_L54P_0 D9 0 IO_L67N_0 A8 NC 0 IO_L67P_0 A9 NC 0 IO_L69N_0 C9 NC Module 4 of 4 54 No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in XC2V1000 0 IO_L69P_0/VREF_0 B9 NC 0 IO_L70N_0 F10 NC 0 IO_L70P_0 E10 NC 0 IO_L72N_0 A10 NC 0 IO_L72P_0 A11 NC 0 IO_L73N_0 C10 NC NC 0 IO_L73P_0 B10 NC NC 0 IO_L91N_0/VREF_0 D11 0 IO_L91P_0 C11 0 IO_L92N_0 G11 0 IO_L92P_0 E11 0 IO_L93N_0 C12 0 IO_L93P_0 B12 0 IO_L94N_0/VREF_0 E12 0 IO_L94P_0 D12 0 IO_L95N_0/GCLK7P G12 0 IO_L95P_0/GCLK6S F12 0 IO_L96N_0/GCLK5P H11 0 IO_L96P_0/GCLK4S H12 1 IO_L96N_1/GCLK3P A13 1 IO_L96P_1/GCLK2S A14 1 IO_L95N_1/GCLK1P B13 1 IO_L95P_1/GCLK0S C13 1 IO_L94N_1 D13 1 IO_L94P_1/VREF_1 E13 1 IO_L93N_1 F13 1 IO_L93P_1 G13 1 IO_L92N_1 H13 1 IO_L92P_1 H14 1 IO_L91N_1 C14 1 IO_L91P_1/VREF_1 D14 1 IO_L73N_1 E14 NC NC 1 IO_L73P_1 G14 NC NC 1 IO_L72N_1 A15 NC 1 IO_L72P_1 A16 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 55 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in XC2V1000 1 IO_L70N_1 B15 NC 1 IO_L70P_1 C15 NC 1 IO_L69N_1/VREF_1 E15 NC 1 IO_L69P_1 F15 NC 1 IO_L67N_1 G15 NC 1 IO_L67P_1 H15 NC 1 IO_L54N_1 B16 1 IO_L54P_1 C16 1 IO_L52N_1 D16 1 IO_L52P_1 E16 1 IO_L51N_1/VREF_1 F16 1 IO_L51P_1 G16 1 IO_L49N_1 A17 1 IO_L49P_1 A19 1 IO_L24N_1 B17 1 IO_L24P_1 B18 1 IO_L22N_1 C17 1 IO_L22P_1 D17 1 IO_L21N_1/VREF_1 F17 1 IO_L21P_1 E17 1 IO_L19N_1 A20 1 IO_L19P_1 A21 1 IO_L06N_1 B19 1 IO_L06P_1 B20 1 IO_L05N_1 C18 1 IO_L05P_1 D18 1 IO_L04N_1 C20 1 IO_L04P_1/VREF_1 D20 1 IO_L03N_1/VRP_1 D19 1 IO_L03P_1/VRN_1 E19 1 IO_L02N_1 E18 1 IO_L02P_1 F18 1 IO_L01N_1 H16 1 IO_L01P_1 G17 2 IO_L01N_2 D22 Module 4 of 4 56 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 2 IO_L01P_2 D23 2 IO_L02N_2/VRP_2 E21 2 IO_L02P_2/VRN_2 E22 2 IO_L03N_2 F21 2 IO_L03P_2/VREF_2 F20 2 IO_L04N_2 G20 2 IO_L04P_2 G19 2 IO_L06N_2 H18 2 IO_L06P_2 J17 2 IO_L19N_2 D24 2 IO_L19P_2 E23 2 IO_L21N_2 E24 2 IO_L21P_2/VREF_2 F24 2 IO_L22N_2 F23 2 IO_L22P_2 G23 2 IO_L24N_2 G21 2 IO_L24P_2 G22 2 IO_L43N_2 H19 2 IO_L43P_2 H20 2 IO_L45N_2 J18 2 IO_L45P_2/VREF_2 J19 2 IO_L46N_2 K17 2 IO_L46P_2 K18 2 IO_L48N_2 H23 2 IO_L48P_2 H24 2 IO_L49N_2 H21 2 IO_L49P_2 H22 2 IO_L51N_2 J24 2 IO_L51P_2/VREF_2 K24 2 IO_L52N_2 J22 2 IO_L52P_2 J23 2 IO_L54N_2 J20 2 IO_L54P_2 J21 2 IO_L67N_2 K19 NC 2 IO_L67P_2 K20 NC 2 IO_L69N_2 L17 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 57 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in XC2V1000 2 IO_L69P_2/VREF_2 L18 NC 2 IO_L70N_2 K23 NC 2 IO_L70P_2 L24 NC 2 IO_L72N_2 K22 NC 2 IO_L72P_2 L22 NC 2 IO_L73N_2 L21 NC NC 2 IO_L73P_2 L20 NC NC 2 IO_L91N_2 M23 2 IO_L91P_2 N24 2 IO_L93N_2 M21 2 IO_L93P_2/VREF_2 M22 2 IO_L94N_2 M19 2 IO_L94P_2 M20 2 IO_L96N_2 M17 2 IO_L96P_2 M18 3 IO_L96N_3 N23 3 IO_L96P_3 N22 3 IO_L94N_3 N20 3 IO_L94P_3 N21 3 IO_L93N_3/VREF_3 N19 3 IO_L93P_3 N18 3 IO_L91N_3 N17 3 IO_L91P_3 P17 3 IO_L73N_3 P24 NC NC 3 IO_L73P_3 R24 NC NC 3 IO_L72N_3 R23 NC 3 IO_L72P_3 R22 NC 3 IO_L70N_3 P22 NC 3 IO_L70P_3 P21 NC 3 IO_L69N_3/VREF_3 P20 NC 3 IO_L69P_3 P18 NC 3 IO_L67N_3 T24 NC 3 IO_L67P_3 U24 NC 3 IO_L54N_3 T23 3 IO_L54P_3 T22 Module 4 of 4 58 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 3 IO_L52N_3 T21 3 IO_L52P_3 T20 3 IO_L51N_3/VREF_3 R20 3 IO_L51P_3 R19 3 IO_L49N_3 W24 3 IO_L49P_3 W23 3 IO_L48N_3 U23 3 IO_L48P_3 V23 3 IO_L46N_3 U22 3 IO_L46P_3 U21 3 IO_L45N_3/VREF_3 V22 3 IO_L45P_3 V21 3 IO_L43N_3 U19 3 IO_L43P_3 U20 3 IO_L24N_3 T19 3 IO_L24P_3 T18 3 IO_L22N_3 R18 3 IO_L22P_3 R17 3 IO_L21N_3/VREF_3 Y24 3 IO_L21P_3 Y23 3 IO_L19N_3 AA24 3 IO_L19P_3 AB24 3 IO_L06N_3 AA23 3 IO_L06P_3 AA22 3 IO_L04N_3 Y22 3 IO_L04P_3 Y21 3 IO_L03N_3/VREF_3 W21 3 IO_L03P_3 W20 3 IO_L02N_3/VRP_3 V20 3 IO_L02P_3/VRN_3 V19 3 IO_L01N_3 U18 3 IO_L01P_3 T17 4 IO_L01N_4/DOUT AD22 4 IO_L01P_4/INIT_B AD21 4 IO_L02N_4/D0 AA20 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 59 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 4 IO_L02P_4/D1 AB20 4 IO_L03N_4/D2/ALT_VRP_4 Y19 4 IO_L03P_4/D3/ALT_VRN_4 AA19 4 IO_L04N_4/VREF_4 W18 4 IO_L04P_4 Y18 4 IO_L05N_4/VRP_4 U16 4 IO_L05P_4/VRN_4 V17 4 IO_L06N_4 AD20 4 IO_L06P_4 AD19 4 IO_L19N_4 AC20 4 IO_L19P_4 AC19 4 IO_L21N_4 AA18 4 IO_L21P_4/VREF_4 AB18 4 IO_L22N_4 AC18 4 IO_L22P_4 AC17 4 IO_L24N_4 AA17 4 IO_L24P_4 AB17 4 IO_L49N_4 Y17 4 IO_L49P_4 W17 4 IO_L51N_4 V16 4 IO_L51P_4/VREF_4 W16 4 IO_L52N_4 AD17 4 IO_L52P_4 AD16 4 IO_L54N_4 AB16 4 IO_L54P_4 AC16 4 IO_L67N_4 Y16 NC 4 IO_L67P_4 AA16 NC 4 IO_L69N_4 W15 NC 4 IO_L69P_4/VREF_4 Y15 NC 4 IO_L70N_4 U15 NC 4 IO_L70P_4 V15 NC 4 IO_L72N_4 AD15 NC 4 IO_L72P_4 AD14 NC 4 IO_L73N_4 AB15 NC NC 4 IO_L73P_4 AC15 NC NC 4 IO_L91N_4/VREF_4 AA14 Module 4 of 4 60 No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in XC2V1000 No Connect in XC2V1500 4 IO_L91P_4 AB14 4 IO_L92N_4 V14 4 IO_L92P_4 Y14 4 IO_L93N_4 AB13 4 IO_L93P_4 AC13 4 IO_L94N_4/VREF_4 Y13 4 IO_L94P_4 AA13 4 IO_L95N_4/GCLK3S V13 4 IO_L95P_4/GCLK2P W13 4 IO_L96N_4/GCLK1S U14 4 IO_L96P_4/GCLK0P U13 5 IO_L96N_5/GCLK7S AD12 5 IO_L96P_5/GCLK6P AD11 5 IO_L95N_5/GCLK5S AC12 5 IO_L95P_5/GCLK4P AB12 5 IO_L94N_5 AA12 5 IO_L94P_5/VREF_5 Y12 5 IO_L93N_5 W12 5 IO_L93P_5 V12 5 IO_L92N_5 U12 5 IO_L92P_5 U11 5 IO_L91N_5 AB11 5 IO_L91P_5/VREF_5 AA11 5 IO_L73N_5 Y11 NC NC 5 IO_L73P_5 V11 NC NC 5 IO_L72N_5 AD10 NC 5 IO_L72P_5 AD9 NC 5 IO_L70N_5 AC10 NC 5 IO_L70P_5 AB10 NC 5 IO_L69N_5/VREF_5 Y10 NC 5 IO_L69P_5 W10 NC 5 IO_L67N_5 V10 NC 5 IO_L67P_5 U10 NC 5 IO_L54N_5 AC9 5 IO_L54P_5 AB9 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 61 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 5 IO_L52N_5 AA9 5 IO_L52P_5 Y9 5 IO_L51N_5/VREF_5 W9 5 IO_L51P_5 V9 5 IO_L49N_5 AD8 5 IO_L49P_5 AD6 5 IO_L24N_5 AC8 5 IO_L24P_5 AC7 5 IO_L22N_5 AB8 5 IO_L22P_5 AA8 5 IO_L21N_5/VREF_5 W8 5 IO_L21P_5 Y8 5 IO_L19N_5 AD5 5 IO_L19P_5 AD4 5 IO_L06N_5 AC6 5 IO_L06P_5 AC5 5 IO_L05N_5/VRP_5 AB7 5 IO_L05P_5/VRN_5 AA7 5 IO_L04N_5 AB5 5 IO_L04P_5/VREF_5 AA5 5 IO_L03N_5/D4/ALT_VRP_5 AA6 5 IO_L03P_5/D5/ALT_VRN_5 Y6 5 IO_L02N_5/D6 Y7 5 IO_L02P_5/D7 W7 5 IO_L01N_5/RDWR_B V8 5 IO_L01P_5/CS_B U9 6 IO_L01P_6 AB2 6 IO_L01N_6 AB1 6 IO_L02P_6/VRN_6 AA3 6 IO_L02N_6/VRP_6 AA2 6 IO_L03P_6 Y4 6 IO_L03N_6/VREF_6 Y3 6 IO_L04P_6 W4 6 IO_L04N_6 W5 6 IO_L06P_6 V5 Module 4 of 4 62 No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 6 IO_L06N_6 V6 6 IO_L19P_6 U7 6 IO_L19N_6 T8 6 IO_L21P_6 AA1 6 IO_L21N_6/VREF_6 Y2 6 IO_L22P_6 Y1 6 IO_L22N_6 W1 6 IO_L24P_6 W2 6 IO_L24N_6 V2 6 IO_L43P_6 V4 6 IO_L43N_6 V3 6 IO_L45P_6 U6 6 IO_L45N_6/VREF_6 U5 6 IO_L46P_6 T7 6 IO_L46N_6 T6 6 IO_L48P_6 R8 6 IO_L48N_6 R7 6 IO_L49P_6 U2 6 IO_L49N_6 U1 6 IO_L51P_6 U4 6 IO_L51N_6/VREF_6 U3 6 IO_L52P_6 T1 6 IO_L52N_6 R1 6 IO_L54P_6 T3 6 IO_L54N_6 T2 6 IO_L67P_6 T5 NC 6 IO_L67N_6 T4 NC 6 IO_L69P_6 R6 NC 6 IO_L69N_6/VREF_6 R5 NC 6 IO_L70P_6 P8 NC 6 IO_L70N_6 P7 NC 6 IO_L72P_6 R2 NC 6 IO_L72N_6 P1 NC 6 IO_L73P_6 R3 NC NC 6 IO_L73N_6 P3 NC NC 6 IO_L91P_6 P5 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 63 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in XC2V1000 No Connect in XC2V1500 6 IO_L91N_6 P4 6 IO_L93P_6 N4 6 IO_L93N_6/VREF_6 N3 6 IO_L94P_6 N6 6 IO_L94N_6 N5 6 IO_L96P_6 N8 6 IO_L96N_6 N7 7 IO_L96P_7 N2 7 IO_L96N_7 M1 7 IO_L94P_7 M2 7 IO_L94N_7 M3 7 IO_L93P_7/VREF_7 M4 7 IO_L93N_7 M5 7 IO_L91P_7 M6 7 IO_L91N_7 M7 7 IO_L73P_7 M8 NC NC 7 IO_L73N_7 L8 NC NC 7 IO_L72P_7 L1 NC 7 IO_L72N_7 K1 NC 7 IO_L70P_7 K2 NC 7 IO_L70N_7 K3 NC 7 IO_L69P_7/VREF_7 L3 NC 7 IO_L69N_7 L4 NC 7 IO_L67P_7 L5 NC 7 IO_L67N_7 L7 NC 7 IO_L54P_7 J1 7 IO_L54N_7 H1 7 IO_L52P_7 J2 7 IO_L52N_7 J3 7 IO_L51P_7/VREF_7 J4 7 IO_L51N_7 J5 7 IO_L49P_7 K5 7 IO_L49N_7 K6 7 IO_L48P_7 F1 7 IO_L48N_7 F2 Module 4 of 4 64 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 7 IO_L46P_7 H2 7 IO_L46N_7 G2 7 IO_L45P_7/VREF_7 H3 7 IO_L45N_7 H4 7 IO_L43P_7 G3 7 IO_L43N_7 G4 7 IO_L24P_7 H5 7 IO_L24N_7 H6 7 IO_L22P_7 J6 7 IO_L22N_7 J7 7 IO_L21P_7/VREF_7 K7 7 IO_L21N_7 K8 7 IO_L19P_7 E1 7 IO_L19N_7 E2 7 IO_L06P_7 D2 7 IO_L06N_7 D3 7 IO_L04P_7 E3 7 IO_L04N_7 E4 7 IO_L03P_7/VREF_7 F4 7 IO_L03N_7 F5 7 IO_L02P_7/VRN_7 G5 7 IO_L02N_7/VRP_7 G6 7 IO_L01P_7 H7 7 IO_L01N_7 J8 0 VCCO_0 J12 0 VCCO_0 J11 0 VCCO_0 J10 0 VCCO_0 F11 0 VCCO_0 C6 0 VCCO_0 B11 1 VCCO_1 J15 1 VCCO_1 J14 1 VCCO_1 J13 1 VCCO_1 F14 1 VCCO_1 C19 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 65 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 1 VCCO_1 B14 2 VCCO_2 M16 2 VCCO_2 L23 2 VCCO_2 L19 2 VCCO_2 L16 2 VCCO_2 K16 2 VCCO_2 F22 3 VCCO_3 W22 3 VCCO_3 R16 3 VCCO_3 P23 3 VCCO_3 P19 3 VCCO_3 P16 3 VCCO_3 N16 4 VCCO_4 AC14 4 VCCO_4 AB19 4 VCCO_4 W14 4 VCCO_4 T15 4 VCCO_4 T14 4 VCCO_4 T13 5 VCCO_5 AC11 5 VCCO_5 AB6 5 VCCO_5 W11 5 VCCO_5 T12 5 VCCO_5 T11 5 VCCO_5 T10 6 VCCO_6 W3 6 VCCO_6 R9 6 VCCO_6 P9 6 VCCO_6 P6 6 VCCO_6 P2 6 VCCO_6 N9 7 VCCO_7 M9 7 VCCO_7 L9 7 VCCO_7 L6 7 VCCO_7 L2 7 VCCO_7 K9 Module 4 of 4 66 No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 7 VCCO_7 F3 NA CCLK AB23 NA PROG_B C1 NA DONE AB21 NA M0 AC4 NA M1 AB4 NA M2 AD3 NA HSWAP_EN C2 NA TCK C23 NA TDI D1 NA TDO C24 NA TMS C21 NA PWRDWN_B AC21 NA DXN B4 NA DXP C4 NA VBATT B21 NA RSVD A22 NA VCCAUX AD13 NA VCCAUX AC22 NA VCCAUX AC3 NA VCCAUX N1 NA VCCAUX M24 NA VCCAUX B22 NA VCCAUX B3 NA VCCAUX A12 NA VCCINT U17 NA VCCINT U8 NA VCCINT T16 NA VCCINT T9 NA VCCINT R15 NA VCCINT R14 NA VCCINT R13 NA VCCINT R12 NA VCCINT R11 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 67 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA VCCINT R10 NA VCCINT P15 NA VCCINT P10 NA VCCINT N15 NA VCCINT N10 NA VCCINT M15 NA VCCINT M10 NA VCCINT L15 NA VCCINT L10 NA VCCINT K15 NA VCCINT K14 NA VCCINT K13 NA VCCINT K12 NA VCCINT K11 NA VCCINT K10 NA VCCINT J16 NA VCCINT J9 NA VCCINT H17 NA VCCINT H8 NA GND AD24 NA GND AD23 NA GND AD18 NA GND AD7 NA GND AD2 NA GND AD1 NA GND AC24 NA GND AC23 NA GND AC2 NA GND AC1 NA GND AB22 NA GND AB3 NA GND AA21 NA GND AA15 NA GND AA10 NA GND AA4 NA GND Y20 Module 4 of 4 68 No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA GND Y5 NA GND W19 NA GND W6 NA GND V24 NA GND V18 NA GND V7 NA GND V1 NA GND R21 NA GND R4 NA GND P14 NA GND P13 NA GND P12 NA GND P11 NA GND N14 NA GND N13 NA GND N12 NA GND N11 NA GND M14 NA GND M13 NA GND M12 NA GND M11 NA GND L14 NA GND L13 NA GND L12 NA GND L11 NA GND K21 NA GND K4 NA GND G24 NA GND G18 NA GND G7 NA GND G1 NA GND F19 NA GND F6 NA GND E20 NA GND E5 NA GND D21 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 Module 4 of 4 69 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 9: BG575 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA GND D15 NA GND D10 NA GND D4 NA GND C22 NA GND C3 NA GND B24 NA GND B23 NA GND B2 NA GND B1 NA GND A24 NA GND A23 NA GND A18 NA GND A7 NA GND A2 Module 4 of 4 70 No Connect in XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information BG575 Standard BGA Package Specifications (1.27mm pitch) Figure 5: BG575 Standard BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 71 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information BG728 Standard BGA Package As shown in Table 10, XC2V2000 and XC2V3000 Virtex-II devices are available in the BG728 BGA package. Pins in the XC2V2000 and XC2V3000 devices are the same, except for the pin differences in the XC2V2000 device, shown in the No Connect column. Following this table are the BG728 Standard BGA Package Specifications (1.27mm pitch). Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 0 IO_L01N_0 B3 0 IO_L01P_0 A3 0 IO_L02N_0 B4 0 IO_L02P_0 A4 0 IO_L03N_0/VRP_0 C5 0 IO_L03P_0/VRN_0 C6 0 IO_L04N_0/VREF_0 B5 0 IO_L04P_0 A5 0 IO_L05N_0 E6 0 IO_L05P_0 D6 0 IO_L06N_0 B6 0 IO_L06P_0 A6 0 IO_L19N_0 E7 0 IO_L19P_0 D8 0 IO_L21N_0 F8 0 IO_L21P_0/VREF_0 E8 0 IO_L22N_0 C7 0 IO_L22P_0 C8 0 IO_L24N_0 B7 0 IO_L24P_0 A7 0 IO_L25N_0 H9 NC 0 IO_L25P_0 J9 NC 0 IO_L27N_0 F9 NC 0 IO_L27P_0/VREF_0 G9 NC 0 IO_L28N_0 E9 NC 0 IO_L28P_0 D9 NC 0 IO_L30N_0 C9 NC 0 IO_L30P_0 B9 NC 0 IO_L49N_0 A8 0 IO_L49P_0 A9 0 IO_L51N_0 G10 0 IO_L51P_0/VREF_0 H10 Module 4 of 4 72 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 0 IO_L52N_0 F10 0 IO_L52P_0 E10 0 IO_L54N_0 D10 0 IO_L54P_0 C10 0 IO_L67N_0 B10 0 IO_L67P_0 A10 0 IO_L69N_0 G11 0 IO_L69P_0/VREF_0 H11 0 IO_L70N_0 F11 0 IO_L70P_0 F12 0 IO_L72N_0 D11 0 IO_L72P_0 C11 0 IO_L73N_0 B11 0 IO_L73P_0 A11 0 IO_L75N_0 H12 0 IO_L75P_0/VREF_0 J12 0 IO_L76N_0 E12 0 IO_L76P_0 D12 0 IO_L78N_0 B12 0 IO_L78P_0 A12 0 IO_L91N_0/VREF_0 J13 0 IO_L91P_0 H13 0 IO_L92N_0 G13 0 IO_L92P_0 F13 0 IO_L93N_0 E13 0 IO_L93P_0 D13 0 IO_L94N_0/VREF_0 B13 0 IO_L94P_0 A13 0 IO_L95N_0/GCLK7P C13 0 IO_L95P_0/GCLK6S C14 0 IO_L96N_0/GCLK5P F14 0 IO_L96P_0/GCLK4S E14 1 IO_L96N_1/GCLK3P G14 1 IO_L96P_1/GCLK2S H14 1 IO_L95N_1/GCLK1P A15 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 73 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 1 IO_L95P_1/GCLK0S B15 1 IO_L94N_1 C15 1 IO_L94P_1/VREF_1 D15 1 IO_L93N_1 E15 1 IO_L93P_1 F15 1 IO_L92N_1 G15 1 IO_L92P_1 H15 1 IO_L91N_1 J15 1 IO_L91P_1/VREF_1 J16 1 IO_L78N_1 A16 1 IO_L78P_1 B16 1 IO_L76N_1 D16 1 IO_L76P_1 E16 1 IO_L75N_1/VREF_1 F16 1 IO_L75P_1 F17 1 IO_L73N_1 H16 1 IO_L73P_1 H17 1 IO_L72N_1 A17 1 IO_L72P_1 B17 1 IO_L70N_1 C17 1 IO_L70P_1 D17 1 IO_L69N_1/VREF_1 G18 1 IO_L69P_1 G17 1 IO_L67N_1 A18 1 IO_L67P_1 B18 1 IO_L54N_1 C18 1 IO_L54P_1 D18 1 IO_L52N_1 E18 1 IO_L52P_1 F18 1 IO_L51N_1/VREF_1 H19 1 IO_L51P_1 H18 1 IO_L49N_1 A19 1 IO_L49P_1 A20 1 IO_L30N_1 B19 NC 1 IO_L30P_1 C19 NC 1 IO_L28N_1 D19 NC Module 4 of 4 74 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V2000 1 IO_L28P_1 E19 NC 1 IO_L27N_1/VREF_1 F19 NC 1 IO_L27P_1 G19 NC 1 IO_L25N_1 J19 NC 1 IO_L25P_1 J20 NC 1 IO_L24N_1 C20 1 IO_L24P_1 C21 1 IO_L22N_1 D20 1 IO_L22P_1 E21 1 IO_L21N_1/VREF_1 E20 1 IO_L21P_1 F20 1 IO_L19N_1 A21 1 IO_L19P_1 B21 1 IO_L06N_1 A22 1 IO_L06P_1 B22 1 IO_L05N_1 C22 1 IO_L05P_1 C23 1 IO_L04N_1 D22 1 IO_L04P_1/VREF_1 E22 1 IO_L03N_1/VRP_1 A23 1 IO_L03P_1/VRN_1 B23 1 IO_L02N_1 A24 1 IO_L02P_1 B24 1 IO_L01N_1 A25 1 IO_L01P_1 B25 2 IO_L01N_2 C27 2 IO_L01P_2 D27 2 IO_L02N_2/VRP_2 D25 2 IO_L02P_2/VRN_2 D26 2 IO_L03N_2 E24 2 IO_L03P_2/VREF_2 E25 2 IO_L04N_2 E26 2 IO_L04P_2 E27 2 IO_L06N_2 F23 2 IO_L06P_2 F24 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 75 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 2 IO_L19N_2 F25 2 IO_L19P_2 F26 2 IO_L21N_2 F27 2 IO_L21P_2/VREF_2 G27 2 IO_L22N_2 G23 2 IO_L22P_2 H23 2 IO_L24N_2 G25 2 IO_L24P_2 G26 2 IO_L25N_2 H21 NC 2 IO_L25P_2 J21 NC 2 IO_L27N_2 H22 NC 2 IO_L27P_2/VREF_2 J22 NC 2 IO_L28N_2 H24 NC 2 IO_L28P_2 H25 NC 2 IO_L30N_2 H27 NC 2 IO_L30P_2 J27 NC 2 IO_L43N_2 J23 2 IO_L43P_2 J24 2 IO_L45N_2 J25 2 IO_L45P_2/VREF_2 J26 2 IO_L46N_2 K20 2 IO_L46P_2 K21 2 IO_L48N_2 K22 2 IO_L48P_2 K23 2 IO_L49N_2 K24 2 IO_L49P_2 K25 2 IO_L51N_2 K26 2 IO_L51P_2/VREF_2 K27 2 IO_L52N_2 L20 2 IO_L52P_2 M20 2 IO_L54N_2 L21 2 IO_L54P_2 L22 2 IO_L67N_2 L24 2 IO_L67P_2 L25 2 IO_L69N_2 L26 2 IO_L69P_2/VREF_2 L27 Module 4 of 4 76 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 2 IO_L70N_2 M19 2 IO_L70P_2 N19 2 IO_L72N_2 M22 2 IO_L72P_2 M23 2 IO_L73N_2 M24 2 IO_L73P_2 N24 2 IO_L75N_2 M26 2 IO_L75P_2/VREF_2 M27 2 IO_L76N_2 N20 2 IO_L76P_2 N21 2 IO_L78N_2 N22 2 IO_L78P_2 N23 2 IO_L91N_2 N25 2 IO_L91P_2 P25 2 IO_L93N_2 N26 2 IO_L93P_2/VREF_2 N27 2 IO_L94N_2 P20 2 IO_L94P_2 P21 2 IO_L96N_2 P22 2 IO_L96P_2 P23 3 IO_L96N_3 R27 3 IO_L96P_3 R26 3 IO_L94N_3 R25 3 IO_L94P_3 R24 3 IO_L93N_3/VREF_3 R23 3 IO_L93P_3 T23 3 IO_L91N_3 R22 3 IO_L91P_3 R21 3 IO_L78N_3 R20 3 IO_L78P_3 R19 3 IO_L76N_3 T27 3 IO_L76P_3 T26 3 IO_L75N_3/VREF_3 T24 3 IO_L75P_3 U24 3 IO_L73N_3 T22 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 77 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 3 IO_L73P_3 U22 3 IO_L72N_3 T20 3 IO_L72P_3 T19 3 IO_L70N_3 U27 3 IO_L70P_3 U26 3 IO_L69N_3/VREF_3 U25 3 IO_L69P_3 V25 3 IO_L67N_3 U21 3 IO_L67P_3 U20 3 IO_L54N_3 V27 3 IO_L54P_3 V26 3 IO_L52N_3 V24 3 IO_L52P_3 V23 3 IO_L51N_3/VREF_3 V22 3 IO_L51P_3 W22 3 IO_L49N_3 V21 3 IO_L49P_3 V20 3 IO_L48N_3 W27 3 IO_L48P_3 Y27 3 IO_L46N_3 W26 3 IO_L46P_3 W25 3 IO_L45N_3/VREF_3 W24 3 IO_L45P_3 W23 3 IO_L43N_3 W21 3 IO_L43P_3 W20 3 IO_L28N_3 W19 NC 3 IO_L28P_3 Y19 NC 3 IO_L27N_3/VREF_3 Y25 NC 3 IO_L27P_3 Y24 NC 3 IO_L25N_3 Y23 NC 3 IO_L25P_3 AA23 NC 3 IO_L24N_3 Y22 3 IO_L24P_3 Y21 3 IO_L22N_3 AA27 3 IO_L22P_3 AB27 3 IO_L21N_3/VREF_3 AA26 Module 4 of 4 78 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 3 IO_L21P_3 AA25 3 IO_L19N_3 AB26 3 IO_L19P_3 AB25 3 IO_L06N_3 AB24 3 IO_L06P_3 AB23 3 IO_L04N_3 AC27 3 IO_L04P_3 AC26 3 IO_L03N_3/VREF_3 AC25 3 IO_L03P_3 AC24 3 IO_L02N_3/VRP_3 AD27 3 IO_L02P_3/VRN_3 AE27 3 IO_L01N_3 AD26 3 IO_L01P_3 AD25 4 IO_L01N_4/DOUT AF25 4 IO_L01P_4/INIT_B AG25 4 IO_L02N_4/D0 AF24 4 IO_L02P_4/D1 AG24 4 IO_L03N_4/D2/ALT_VRP_4 AD23 4 IO_L03P_4/D3/ALT_VRN_4 AE23 4 IO_L04N_4/VREF_4 AF23 4 IO_L04P_4 AG23 4 IO_L05N_4/VRP_4 AD22 4 IO_L05P_4/VRN_4 AE22 4 IO_L06N_4 AF22 4 IO_L06P_4 AG22 4 IO_L19N_4 AC21 4 IO_L19P_4 AB21 4 IO_L21N_4 AE21 4 IO_L21P_4/VREF_4 AE20 4 IO_L22N_4 AF21 4 IO_L22P_4 AG21 4 IO_L24N_4 AB20 4 IO_L24P_4 AA20 4 IO_L25N_4 AC20 NC 4 IO_L25P_4 AD20 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 79 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V2000 4 IO_L27N_4 AG20 NC 4 IO_L27P_4/VREF_4 AG19 NC 4 IO_L28N_4 AB19 NC 4 IO_L28P_4 AA19 NC 4 IO_L30N_4 AC19 NC 4 IO_L30P_4 AD19 NC 4 IO_L49N_4 AE19 4 IO_L49P_4 AF19 4 IO_L51N_4 AA18 4 IO_L51P_4/VREF_4 Y18 4 IO_L52N_4 AB18 4 IO_L52P_4 AC18 4 IO_L54N_4 AD18 4 IO_L54P_4 AE18 4 IO_L67N_4 AF18 4 IO_L67P_4 AG18 4 IO_L69N_4 AA17 4 IO_L69P_4/VREF_4 Y17 4 IO_L70N_4 AB17 4 IO_L70P_4 AB16 4 IO_L72N_4 AD17 4 IO_L72P_4 AE17 4 IO_L73N_4 AF17 4 IO_L73P_4 AG17 4 IO_L75N_4 Y16 4 IO_L75P_4/VREF_4 W16 4 IO_L76N_4 AC16 4 IO_L76P_4 AD16 4 IO_L78N_4 AF16 4 IO_L78P_4 AG16 4 IO_L91N_4/VREF_4 W15 4 IO_L91P_4 Y15 4 IO_L92N_4 AB15 4 IO_L92P_4 AA15 4 IO_L93N_4 AC15 4 IO_L93P_4 AD15 Module 4 of 4 80 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 4 IO_L94N_4/VREF_4 AE15 4 IO_L94P_4 AE14 4 IO_L95N_4/GCLK3S AF15 4 IO_L95P_4/GCLK2P AG15 4 IO_L96N_4/GCLK1S Y14 4 IO_L96P_4/GCLK0P AA14 5 IO_L96N_5/GCLK7S AC14 5 IO_L96P_5/GCLK6P AB14 5 IO_L95N_5/GCLK5S AG13 5 IO_L95P_5/GCLK4P AF13 5 IO_L94N_5 AE13 5 IO_L94P_5/VREF_5 AD13 5 IO_L93N_5 AC13 5 IO_L93P_5 AB13 5 IO_L92N_5 AA13 5 IO_L92P_5 Y13 5 IO_L91N_5 W13 5 IO_L91P_5/VREF_5 W12 5 IO_L78N_5 AG12 5 IO_L78P_5 AF12 5 IO_L76N_5 AD12 5 IO_L76P_5 AC12 5 IO_L75N_5/VREF_5 AB12 5 IO_L75P_5 AB11 5 IO_L73N_5 Y12 5 IO_L73P_5 Y11 5 IO_L72N_5 AG11 5 IO_L72P_5 AF11 5 IO_L70N_5 AE11 5 IO_L70P_5 AD11 5 IO_L69N_5/VREF_5 AA10 5 IO_L69P_5 AA11 5 IO_L67N_5 AG10 5 IO_L67P_5 AF10 5 IO_L54N_5 AE10 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 81 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 5 IO_L54P_5 AD10 5 IO_L52N_5 AC10 5 IO_L52P_5 AB10 5 IO_L51N_5/VREF_5 Y9 5 IO_L51P_5 Y10 5 IO_L49N_5 AG9 5 IO_L49P_5 AG8 5 IO_L30N_5 AF9 NC 5 IO_L30P_5 AE9 NC 5 IO_L28N_5 AD9 NC 5 IO_L28P_5 AC9 NC 5 IO_L27N_5/VREF_5 AB9 NC 5 IO_L27P_5 AA9 NC 5 IO_L25N_5 AE8 NC 5 IO_L25P_5 AE7 NC 5 IO_L24N_5 AD8 5 IO_L24P_5 AC8 5 IO_L22N_5 AB8 5 IO_L22P_5 AA8 5 IO_L21N_5/VREF_5 AG7 5 IO_L21P_5 AF7 5 IO_L19N_5 AC7 5 IO_L19P_5 AB7 5 IO_L06N_5 AG6 5 IO_L06P_5 AF6 5 IO_L05N_5/VRP_5 AE6 5 IO_L05P_5/VRN_5 AD6 5 IO_L04N_5 AG5 5 IO_L04P_5/VREF_5 AF5 5 IO_L03N_5/D4/ALT_VRP_5 AE5 5 IO_L03P_5/D5/ALT_VRN_5 AD5 5 IO_L02N_5/D6 AG4 5 IO_L02P_5/D7 AF4 5 IO_L01N_5/RDWR_B AG3 5 IO_L01P_5/CS_B AF3 Module 4 of 4 82 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 6 IO_L01P_6 AE1 6 IO_L01N_6 AD1 6 IO_L02P_6/VRN_6 AD3 6 IO_L02N_6/VRP_6 AD2 6 IO_L03P_6 AC4 6 IO_L03N_6/VREF_6 AC3 6 IO_L04P_6 AC2 6 IO_L04N_6 AC1 6 IO_L06P_6 AB5 6 IO_L06N_6 AB4 6 IO_L19P_6 AB3 6 IO_L19N_6 AB2 6 IO_L21P_6 AB1 6 IO_L21N_6/VREF_6 AA1 6 IO_L22P_6 AA5 6 IO_L22N_6 AA6 6 IO_L24P_6 AA3 6 IO_L24N_6 AA2 6 IO_L25P_6 Y5 NC 6 IO_L25N_6 Y6 NC 6 IO_L27P_6 Y4 NC 6 IO_L27N_6/VREF_6 Y3 NC 6 IO_L28P_6 Y1 NC 6 IO_L28N_6 W1 NC 6 IO_L43P_6 W8 6 IO_L43N_6 W9 6 IO_L45P_6 W6 6 IO_L45N_6/VREF_6 W7 6 IO_L46P_6 W5 6 IO_L46N_6 W4 6 IO_L48P_6 W3 6 IO_L48N_6 W2 6 IO_L49P_6 V7 6 IO_L49N_6 V8 6 IO_L51P_6 V5 6 IO_L51N_6/VREF_6 V6 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 83 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 6 IO_L52P_6 V4 6 IO_L52N_6 V3 6 IO_L54P_6 V2 6 IO_L54N_6 V1 6 IO_L67P_6 U8 6 IO_L67N_6 T8 6 IO_L69P_6 U6 6 IO_L69N_6/VREF_6 U7 6 IO_L70P_6 U4 6 IO_L70N_6 U3 6 IO_L72P_6 U2 6 IO_L72N_6 U1 6 IO_L73P_6 T9 6 IO_L73N_6 R9 6 IO_L75P_6 T5 6 IO_L75N_6/VREF_6 T6 6 IO_L76P_6 T4 6 IO_L76N_6 R4 6 IO_L78P_6 T2 6 IO_L78N_6 T1 6 IO_L91P_6 R7 6 IO_L91N_6 R8 6 IO_L93P_6 R5 6 IO_L93N_6/VREF_6 R6 6 IO_L94P_6 R3 6 IO_L94N_6 P3 6 IO_L96P_6 R2 6 IO_L96N_6 R1 7 IO_L96P_7 P5 7 IO_L96N_7 P6 7 IO_L94P_7 P7 7 IO_L94N_7 P8 7 IO_L93P_7/VREF_7 N1 7 IO_L93N_7 N2 7 IO_L91P_7 N3 Module 4 of 4 84 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 7 IO_L91N_7 N4 7 IO_L78P_7 N6 7 IO_L78N_7 N7 7 IO_L76P_7 N9 7 IO_L76N_7 N8 7 IO_L75P_7/VREF_7 N5 7 IO_L75N_7 M6 7 IO_L73P_7 M1 7 IO_L73N_7 M2 7 IO_L72P_7 M4 7 IO_L72N_7 M5 7 IO_L70P_7 M8 7 IO_L70N_7 M9 7 IO_L69P_7/VREF_7 L1 7 IO_L69N_7 L2 7 IO_L67P_7 L3 7 IO_L67N_7 L4 7 IO_L54P_7 K1 7 IO_L54N_7 K2 7 IO_L52P_7 K4 7 IO_L52N_7 K5 7 IO_L51P_7/VREF_7 L6 7 IO_L51N_7 L7 7 IO_L49P_7 K6 7 IO_L49N_7 K7 7 IO_L48P_7 L8 7 IO_L48N_7 K8 7 IO_L46P_7 J1 7 IO_L46N_7 H1 7 IO_L45P_7/VREF_7 J2 7 IO_L45N_7 J3 7 IO_L43P_7 K3 7 IO_L43N_7 J4 7 IO_L30P_7 H3 NC 7 IO_L30N_7 H4 NC 7 IO_L28P_7 J5 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 85 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number No Connect in XC2V2000 7 IO_L28N_7 J6 NC 7 IO_L27P_7/VREF_7 H5 NC 7 IO_L27N_7 H6 NC 7 IO_L25P_7 J7 NC 7 IO_L25N_7 J8 NC 7 IO_L24P_7 G1 7 IO_L24N_7 F1 7 IO_L22P_7 G2 7 IO_L22N_7 G3 7 IO_L21P_7/VREF_7 F2 7 IO_L21N_7 F3 7 IO_L19P_7 G5 7 IO_L19N_7 G6 7 IO_L06P_7 F4 7 IO_L06N_7 F5 7 IO_L04P_7 E1 7 IO_L04N_7 E2 7 IO_L03P_7/VREF_7 D1 7 IO_L03N_7 C1 7 IO_L02P_7/VRN_7 E3 7 IO_L02N_7/VRP_7 E4 7 IO_L01P_7 D2 7 IO_L01N_7 D3 0 VCCO_0 K13 0 VCCO_0 K12 0 VCCO_0 K11 0 VCCO_0 J11 0 VCCO_0 J10 0 VCCO_0 G12 0 VCCO_0 D7 0 VCCO_0 C12 1 VCCO_1 K17 1 VCCO_1 K16 1 VCCO_1 K15 1 VCCO_1 J18 Module 4 of 4 86 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 1 VCCO_1 J17 1 VCCO_1 G16 1 VCCO_1 D21 1 VCCO_1 C16 2 VCCO_2 N18 2 VCCO_2 M25 2 VCCO_2 M21 2 VCCO_2 M18 2 VCCO_2 L19 2 VCCO_2 L18 2 VCCO_2 K19 2 VCCO_2 G24 3 VCCO_3 AA24 3 VCCO_3 V19 3 VCCO_3 U19 3 VCCO_3 U18 3 VCCO_3 T25 3 VCCO_3 T21 3 VCCO_3 T18 3 VCCO_3 R18 4 VCCO_4 AE16 4 VCCO_4 AD21 4 VCCO_4 AA16 4 VCCO_4 W18 4 VCCO_4 W17 4 VCCO_4 V17 4 VCCO_4 V16 4 VCCO_4 V15 5 VCCO_5 AE12 5 VCCO_5 AD7 5 VCCO_5 AA12 5 VCCO_5 W11 5 VCCO_5 W10 5 VCCO_5 V13 5 VCCO_5 V12 5 VCCO_5 V11 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 87 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number 6 VCCO_6 AA4 6 VCCO_6 V9 6 VCCO_6 U10 6 VCCO_6 U9 6 VCCO_6 T10 6 VCCO_6 T7 6 VCCO_6 T3 6 VCCO_6 R10 7 VCCO_7 M10 7 VCCO_7 M7 7 VCCO_7 M3 7 VCCO_7 L10 7 VCCO_7 L9 7 VCCO_7 K9 7 VCCO_7 G4 7 VCCO_7 N10 NA CCLK AA22 NA PROG_B C4 NA DONE AC22 NA M0 AC6 NA M1 Y7 NA M2 AE4 NA HSWAP_EN D5 NA TCK G20 NA TDI H7 NA TDO G22 NA TMS F21 NA PWRDWN_B AE24 NA DXN G8 NA DXP F7 NA VBATT D23 NA RSVD C24 NA VCCAUX AF14 NA VCCAUX AE26 Module 4 of 4 88 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number NA VCCAUX AE2 NA VCCAUX P26 NA VCCAUX P2 NA VCCAUX C26 NA VCCAUX C2 NA VCCAUX B14 NA VCCINT V18 NA VCCINT V14 NA VCCINT V10 NA VCCINT U17 NA VCCINT U16 NA VCCINT U15 NA VCCINT U14 NA VCCINT U13 NA VCCINT U12 NA VCCINT U11 NA VCCINT T17 NA VCCINT T11 NA VCCINT R17 NA VCCINT R11 NA VCCINT P18 NA VCCINT P17 NA VCCINT P11 NA VCCINT P10 NA VCCINT N17 NA VCCINT N11 NA VCCINT M17 NA VCCINT M11 NA VCCINT L17 NA VCCINT L16 NA VCCINT L15 NA VCCINT L14 NA VCCINT L13 NA VCCINT L12 NA VCCINT L11 NA VCCINT K18 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 89 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number NA VCCINT K14 NA VCCINT K10 NA GND AG27 NA GND AG26 NA GND AG14 NA GND AG2 NA GND AG1 NA GND AF27 NA GND AF26 NA GND AF20 NA GND AF8 NA GND AF2 NA GND AF1 NA GND AE25 NA GND AE3 NA GND AD24 NA GND AD14 NA GND AD4 NA GND AC23 NA GND AC17 NA GND AC11 NA GND AC5 NA GND AB22 NA GND AB6 NA GND AA21 NA GND AA7 NA GND Y26 NA GND Y20 NA GND Y8 NA GND Y2 NA GND W14 NA GND U23 NA GND U5 NA GND T16 NA GND T15 NA GND T14 Module 4 of 4 90 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number NA GND T13 NA GND T12 NA GND R16 NA GND R15 NA GND R14 NA GND R13 NA GND R12 NA GND P27 NA GND P24 NA GND P19 NA GND P16 NA GND P15 NA GND P14 NA GND P13 NA GND P12 NA GND P9 NA GND P4 NA GND P1 NA GND N16 NA GND N15 NA GND N14 NA GND N13 NA GND N12 NA GND M16 NA GND M15 NA GND M14 NA GND M13 NA GND M12 NA GND L23 NA GND L5 NA GND J14 NA GND H26 NA GND H20 NA GND H8 NA GND H2 NA GND G21 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 91 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 10: BG728 BGA -- XC2V2000 and XC2V3000 Bank Pin Description Pin Number NA GND G7 NA GND F22 NA GND F6 NA GND E23 NA GND E17 NA GND E11 NA GND E5 NA GND D24 NA GND D14 NA GND D4 NA GND C25 NA GND C3 NA GND B27 NA GND B26 NA GND B20 NA GND B8 NA GND B2 NA GND B1 NA GND A27 NA GND A26 NA GND A14 NA GND A2 Module 4 of 4 92 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information BG728 Standard BGA Package Specifications (1.27mm pitch) Figure 6: BG728 Standard BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 93 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FF896 Flip-Chip Fine-Pitch BGA Package As shown in Table 11, XC2V1000, XC2V1500, and XC2V2000 Virtex-II devices are available in the FF896 flip-chip fine-pitch BGA package. Pins in the XC2V1000, XC2V1500, and XC2V2000 devices are the same, except for the pin differences in the XC2V1000 and XC2V1500 devices shown in the No Connect columns. Following this table are the FF896 Flip-Chip Fine-Pitch BGA Package Specifications (1.00mm pitch). Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 0 IO_L01N_0 B27 0 IO_L01P_0 A27 0 IO_L02N_0 F24 0 IO_L02P_0 E24 0 IO_L03N_0/VRP_0 C26 0 IO_L03P_0/VRN_0 C25 0 IO_L04N_0/VREF_0 A26 0 IO_L04P_0 A25 0 IO_L05N_0 F23 0 IO_L05P_0 F22 0 IO_L06N_0 C24 0 IO_L06P_0 D25 0 IO_L19N_0 A24 0 IO_L19P_0 B25 0 IO_L20N_0 G22 0 IO_L20P_0 G21 0 IO_L21N_0 D24 0 IO_L21P_0/VREF_0 D23 0 IO_L22N_0 B23 0 IO_L22P_0 B24 0 IO_L23N_0 H21 0 IO_L23P_0 H20 0 IO_L24N_0 E22 0 IO_L24P_0 E23 0 IO_L49N_0 A22 0 IO_L49P_0 B22 0 IO_L50N_0 F21 0 IO_L50P_0 F20 0 IO_L51N_0 C23 0 IO_L51P_0/VREF_0 C22 0 IO_L52N_0 B20 0 IO_L52P_0 B21 Module 4 of 4 94 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 0 IO_L53N_0 G20 0 IO_L53P_0 G19 0 IO_L54N_0 D21 0 IO_L54P_0 D22 0 IO_L67N_0 E20 NC 0 IO_L67P_0 E21 NC 0 IO_L68N_0 H19 NC 0 IO_L68P_0 H18 NC 0 IO_L69N_0 D20 NC 0 IO_L69P_0/VREF_0 D19 NC 0 IO_L70N_0 A20 NC 0 IO_L70P_0 A21 NC 0 IO_L71N_0 F19 NC 0 IO_L71P_0 F18 NC 0 IO_L72N_0 C19 NC 0 IO_L72P_0 C20 NC 0 IO_L73N_0 B18 NC NC 0 IO_L73P_0 B19 NC NC 0 IO_L74N_0 G18 NC NC 0 IO_L74P_0 H17 NC NC 0 IO_L75N_0 E18 NC NC 0 IO_L75P_0/VREF_0 D18 NC NC 0 IO_L76N_0 A18 NC NC 0 IO_L76P_0 A19 NC NC 0 IO_L77N_0 J17 NC NC 0 IO_L77P_0 J16 NC NC 0 IO_L78N_0 E16 NC NC 0 IO_L78P_0 E17 NC NC 0 IO_L91N_0/VREF_0 B17 0 IO_L91P_0 B16 0 IO_L92N_0 F17 0 IO_L92P_0 F16 0 IO_L93N_0 D16 0 IO_L93P_0 D17 0 IO_L94N_0/VREF_0 A17 0 IO_L94P_0 A16 0 IO_L95N_0/GCLK7P H16 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 95 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 No Connect in the XC2V1500 0 IO_L95P_0/GCLK6S G16 0 IO_L96N_0/GCLK5P C17 0 IO_L96P_0/GCLK4S C16 1 IO_L96N_1/GCLK3P C15 1 IO_L96P_1/GCLK2S C14 1 IO_L95N_1/GCLK1P F15 1 IO_L95P_1/GCLK0S F14 1 IO_L94N_1 B15 1 IO_L94P_1/VREF_1 B14 1 IO_L93N_1 D14 1 IO_L93P_1 D15 1 IO_L92N_1 G15 1 IO_L92P_1 H15 1 IO_L91N_1 A14 1 IO_L91P_1/VREF_1 A13 1 IO_L78N_1 E14 NC NC 1 IO_L78P_1 E15 NC NC 1 IO_L77N_1 J15 NC NC 1 IO_L77P_1 J14 NC NC 1 IO_L76N_1 B12 NC NC 1 IO_L76P_1 B13 NC NC 1 IO_L75N_1/VREF_1 D13 NC NC 1 IO_L75P_1 E13 NC NC 1 IO_L74N_1 H14 NC NC 1 IO_L74P_1 H13 NC NC 1 IO_L73N_1 A11 NC NC 1 IO_L73P_1 A12 NC NC 1 IO_L72N_1 C11 NC 1 IO_L72P_1 C12 NC 1 IO_L71N_1 F13 NC 1 IO_L71P_1 F12 NC 1 IO_L70N_1 B10 NC 1 IO_L70P_1 B11 NC 1 IO_L69N_1/VREF_1 D12 NC 1 IO_L69P_1 D11 NC 1 IO_L68N_1 G13 NC Module 4 of 4 96 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 1 IO_L68P_1 G12 NC 1 IO_L67N_1 A9 NC 1 IO_L67P_1 A10 NC 1 IO_L54N_1 E10 1 IO_L54P_1 E11 1 IO_L53N_1 H12 1 IO_L53P_1 H11 1 IO_L52N_1 D9 1 IO_L52P_1 D10 1 IO_L51N_1/VREF_1 C9 1 IO_L51P_1 C8 1 IO_L50N_1 F11 1 IO_L50P_1 F10 1 IO_L49N_1 B8 1 IO_L49P_1 B9 1 IO_L24N_1 E8 1 IO_L24P_1 E9 1 IO_L23N_1 G11 1 IO_L23P_1 H10 1 IO_L22N_1 B7 1 IO_L22P_1 A7 1 IO_L21N_1/VREF_1 D8 1 IO_L21P_1 E7 1 IO_L20N_1 G10 1 IO_L20P_1 G9 1 IO_L19N_1 A5 1 IO_L19P_1 A6 1 IO_L06N_1 C6 1 IO_L06P_1 C7 1 IO_L05N_1 F9 1 IO_L05P_1 G8 1 IO_L04N_1 B6 1 IO_L04P_1/VREF_1 C5 1 IO_L03N_1/VRP_1 D7 1 IO_L03P_1/VRN_1 D6 1 IO_L02N_1 F8 1 IO_L02P_1 F7 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 97 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 1 IO_L01N_1 B4 1 IO_L01P_1 A4 2 IO_L01N_2 C1 2 IO_L01P_2 B1 2 IO_L02N_2/VRP_2 H9 2 IO_L02P_2/VRN_2 H8 2 IO_L03N_2 D3 2 IO_L03P_2/VREF_2 E3 2 IO_L04N_2 D2 2 IO_L04P_2 C2 2 IO_L05N_2 G7 2 IO_L05P_2 H7 2 IO_L06N_2 F4 2 IO_L06P_2 E4 2 IO_L19N_2 E1 2 IO_L19P_2 D1 2 IO_L20N_2 G6 2 IO_L20P_2 H6 2 IO_L21N_2 F5 2 IO_L21P_2/VREF_2 G5 2 IO_L22N_2 G2 2 IO_L22P_2 F2 2 IO_L23N_2 J8 2 IO_L23P_2 J7 2 IO_L24N_2 G3 2 IO_L24P_2 F3 2 IO_L43N_2 G1 2 IO_L43P_2 F1 2 IO_L44N_2 K8 2 IO_L44P_2 L8 2 IO_L45N_2 G4 2 IO_L45P_2/VREF_2 H4 2 IO_L46N_2 J2 2 IO_L46P_2 H2 2 IO_L47N_2 J6 2 IO_L47P_2 K6 Module 4 of 4 98 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 2 IO_L48N_2 J5 2 IO_L48P_2 H5 2 IO_L49N_2 J3 2 IO_L49P_2 H3 2 IO_L50N_2 K7 2 IO_L50P_2 L7 2 IO_L51N_2 J4 2 IO_L51P_2/VREF_2 K4 2 IO_L52N_2 K1 2 IO_L52P_2 J1 2 IO_L53N_2 L6 2 IO_L53P_2 M6 2 IO_L54N_2 L5 2 IO_L54P_2 K5 2 IO_L67N_2 L2 NC 2 IO_L67P_2 K2 NC 2 IO_L68N_2 M8 NC 2 IO_L68P_2 N8 NC 2 IO_L69N_2 L4 NC 2 IO_L69P_2/VREF_2 M4 NC 2 IO_L70N_2 M1 NC 2 IO_L70P_2 L1 NC 2 IO_L71N_2 M7 NC 2 IO_L71P_2 N7 NC 2 IO_L72N_2 M3 NC 2 IO_L72P_2 L3 NC 2 IO_L73N_2 N2 NC NC 2 IO_L73P_2 M2 NC NC 2 IO_L74N_2 N6 NC NC 2 IO_L74P_2 P6 NC NC 2 IO_L75N_2 N5 NC NC 2 IO_L75P_2/VREF_2 N4 NC NC 2 IO_L76N_2 P1 NC NC 2 IO_L76P_2 N1 NC NC 2 IO_L77N_2 P9 NC NC 2 IO_L77P_2 R9 NC NC 2 IO_L78N_2 R5 NC NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 99 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 No Connect in the XC2V1500 2 IO_L78P_2 P5 NC NC 2 IO_L91N_2 R2 2 IO_L91P_2 P2 2 IO_L92N_2 P8 2 IO_L92P_2 R8 2 IO_L93N_2 P4 2 IO_L93P_2/VREF_2 R4 2 IO_L94N_2 R1 2 IO_L94P_2 T2 2 IO_L95N_2 R7 2 IO_L95P_2 R6 2 IO_L96N_2 R3 2 IO_L96P_2 P3 3 IO_L96N_3 T7 3 IO_L96P_3 T6 3 IO_L95N_3 U1 3 IO_L95P_3 V1 3 IO_L94N_3 T3 3 IO_L94P_3 U3 3 IO_L93N_3/VREF_3 T8 3 IO_L93P_3 U8 3 IO_L92N_3 U2 3 IO_L92P_3 V2 3 IO_L91N_3 T4 3 IO_L91P_3 U4 3 IO_L78N_3 U9 NC NC 3 IO_L78P_3 T9 NC NC 3 IO_L77N_3 W1 NC NC 3 IO_L77P_3 Y1 NC NC 3 IO_L76N_3 T5 NC NC 3 IO_L76P_3 U5 NC NC 3 IO_L75N_3/VREF_3 U6 NC NC 3 IO_L75P_3 V6 NC NC 3 IO_L74N_3 W2 NC NC 3 IO_L74P_3 Y2 NC NC 3 IO_L73N_3 V4 NC NC Module 4 of 4 100 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 No Connect in the XC2V1500 3 IO_L73P_3 W4 NC NC 3 IO_L72N_3 W7 NC 3 IO_L72P_3 V7 NC 3 IO_L71N_3 V5 NC 3 IO_L71P_3 W6 NC 3 IO_L70N_3 W3 NC 3 IO_L70P_3 Y3 NC 3 IO_L69N_3/VREF_3 V8 NC 3 IO_L69P_3 W8 NC 3 IO_L68N_3 AA1 NC 3 IO_L68P_3 AB1 NC 3 IO_L67N_3 Y4 NC 3 IO_L67P_3 AA4 NC 3 IO_L54N_3 AA6 3 IO_L54P_3 Y6 3 IO_L53N_3 AA2 3 IO_L53P_3 AB2 3 IO_L52N_3 Y5 3 IO_L52P_3 AA5 3 IO_L51N_3/VREF_3 Y8 3 IO_L51P_3 AA8 3 IO_L50N_3 AC2 3 IO_L50P_3 AD2 3 IO_L49N_3 Y7 3 IO_L49P_3 AA7 3 IO_L48N_3 AC6 3 IO_L48P_3 AB6 3 IO_L47N_3 AD1 3 IO_L47P_3 AE1 3 IO_L46N_3 AB3 3 IO_L46P_3 AC3 3 IO_L45N_3/VREF_3 AB7 3 IO_L45P_3 AC7 3 IO_L44N_3 AB4 3 IO_L44P_3 AC4 3 IO_L43N_3 AB5 3 IO_L43P_3 AC5 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 101 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 3 IO_L24N_3 AC8 3 IO_L24P_3 AB8 3 IO_L23N_3 AE2 3 IO_L23P_3 AF3 3 IO_L22N_3 AD3 3 IO_L22P_3 AE3 3 IO_L21N_3/VREF_3 AD6 3 IO_L21P_3 AD7 3 IO_L20N_3 AF1 3 IO_L20P_3 AG1 3 IO_L19N_3 AD4 3 IO_L19P_3 AE4 3 IO_L06N_3 AD8 3 IO_L06P_3 AE7 3 IO_L05N_3 AG2 3 IO_L05P_3 AH2 3 IO_L04N_3 AD5 3 IO_L04P_3 AE5 3 IO_L03N_3/VREF_3 AC9 3 IO_L03P_3 AD9 3 IO_L02N_3/VRP_3 AH1 3 IO_L02P_3/VRN_3 AJ1 3 IO_L01N_3 AF4 3 IO_L01P_3 AG3 4 IO_L01N_4/DOUT AK2 4 IO_L01P_4/INIT_B AJ3 4 IO_L02N_4/D0 AE8 4 IO_L02P_4/D1 AF9 4 IO_L03N_4/D2/ALT_VRP_4 AH5 4 IO_L03P_4/D3/ALT_VRN_4 AH6 4 IO_L04N_4/VREF_4 AJ4 4 IO_L04P_4 AK4 4 IO_L05N_4/VRP_4 AC10 4 IO_L05P_4/VRN_4 AC11 4 IO_L06N_4 AH7 4 IO_L06P_4 AG6 Module 4 of 4 102 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 4 IO_L19N_4 AK6 4 IO_L19P_4 AK5 4 IO_L20N_4 AE9 4 IO_L20P_4 AE10 4 IO_L21N_4 AF7 4 IO_L21P_4/VREF_4 AF8 4 IO_L22N_4 AK7 4 IO_L22P_4 AJ6 4 IO_L23N_4 AD10 4 IO_L23P_4 AD11 4 IO_L24N_4 AG8 4 IO_L24P_4 AG7 4 IO_L49N_4 AJ8 4 IO_L49P_4 AJ7 4 IO_L50N_4 AE11 4 IO_L50P_4 AE12 4 IO_L51N_4 AG9 4 IO_L51P_4/VREF_4 AG10 4 IO_L52N_4 AK9 4 IO_L52P_4 AJ9 4 IO_L53N_4 AH8 4 IO_L53P_4 AH9 4 IO_L54N_4 AF11 4 IO_L54P_4 AF10 4 IO_L67N_4 AJ11 NC 4 IO_L67P_4 AJ10 NC 4 IO_L68N_4 AC12 NC 4 IO_L68P_4 AC13 NC 4 IO_L69N_4 AG11 NC 4 IO_L69P_4/VREF_4 AG12 NC 4 IO_L70N_4 AK11 NC 4 IO_L70P_4 AK10 NC 4 IO_L71N_4 AD12 NC 4 IO_L71P_4 AD13 NC 4 IO_L72N_4 AH12 NC 4 IO_L72P_4 AH11 NC 4 IO_L73N_4 AJ13 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 NC Module 4 of 4 103 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 No Connect in the XC2V1500 4 IO_L73P_4 AJ12 NC NC 4 IO_L74N_4 AE13 NC NC 4 IO_L74P_4 AE14 NC NC 4 IO_L75N_4 AF13 NC NC 4 IO_L75P_4/VREF_4 AG13 NC NC 4 IO_L76N_4 AK13 NC NC 4 IO_L76P_4 AK12 NC NC 4 IO_L77N_4 AB14 NC NC 4 IO_L77P_4 AB15 NC NC 4 IO_L78N_4 AF15 NC NC 4 IO_L78P_4 AF14 NC NC 4 IO_L91N_4/VREF_4 AJ14 4 IO_L91P_4 AJ15 4 IO_L92N_4 AC14 4 IO_L92P_4 AC15 4 IO_L93N_4 AG15 4 IO_L93P_4 AG14 4 IO_L94N_4/VREF_4 AK14 4 IO_L94P_4 AK15 4 IO_L95N_4/GCLK3S AD15 4 IO_L95P_4/GCLK2P AE15 4 IO_L96N_4/GCLK1S AH14 4 IO_L96P_4/GCLK0P AH15 5 IO_L96N_5/GCLK7S AH16 5 IO_L96P_5/GCLK6P AH17 5 IO_L95N_5/GCLK5S AE16 5 IO_L95P_5/GCLK4P AD16 5 IO_L94N_5 AJ16 5 IO_L94P_5/VREF_5 AJ17 5 IO_L93N_5 AG17 5 IO_L93P_5 AG16 5 IO_L92N_5 AC16 5 IO_L92P_5 AC17 5 IO_L91N_5 AK17 5 IO_L91P_5/VREF_5 AK18 5 IO_L78N_5 AF17 NC NC Module 4 of 4 104 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 No Connect in the XC2V1500 5 IO_L78P_5 AF16 NC NC 5 IO_L77N_5 AB16 NC NC 5 IO_L77P_5 AB17 NC NC 5 IO_L76N_5 AJ19 NC NC 5 IO_L76P_5 AJ18 NC NC 5 IO_L75N_5/VREF_5 AG18 NC NC 5 IO_L75P_5 AF18 NC NC 5 IO_L74N_5 AE17 NC NC 5 IO_L74P_5 AE18 NC NC 5 IO_L73N_5 AK20 NC NC 5 IO_L73P_5 AK19 NC NC 5 IO_L72N_5 AH20 NC 5 IO_L72P_5 AH19 NC 5 IO_L71N_5 AD18 NC 5 IO_L71P_5 AD19 NC 5 IO_L70N_5 AJ21 NC 5 IO_L70P_5 AJ20 NC 5 IO_L69N_5/VREF_5 AG19 NC 5 IO_L69P_5 AG20 NC 5 IO_L68N_5 AC18 NC 5 IO_L68P_5 AC19 NC 5 IO_L67N_5 AK22 NC 5 IO_L67P_5 AK21 NC 5 IO_L54N_5 AF21 5 IO_L54P_5 AF20 5 IO_L53N_5 AH22 5 IO_L53P_5 AH23 5 IO_L52N_5 AG22 5 IO_L52P_5 AG21 5 IO_L51N_5/VREF_5 AF22 5 IO_L51P_5 AF23 5 IO_L50N_5 AE19 5 IO_L50P_5 AE20 5 IO_L49N_5 AJ23 5 IO_L49P_5 AJ22 5 IO_L24N_5 AF24 5 IO_L24P_5 AG23 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 105 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 5 IO_L23N_5 AD20 5 IO_L23P_5 AD21 5 IO_L22N_5 AK25 5 IO_L22P_5 AK24 5 IO_L21N_5/VREF_5 AH24 5 IO_L21P_5 AH25 5 IO_L20N_5 AE21 5 IO_L20P_5 AD22 5 IO_L19N_5 AJ25 5 IO_L19P_5 AJ24 5 IO_L06N_5 AG25 5 IO_L06P_5 AG24 5 IO_L05N_5/VRP_5 AC20 5 IO_L05P_5/VRN_5 AC21 5 IO_L04N_5 AK26 5 IO_L04P_5/VREF_5 AK27 5 IO_L03N_5/D4/ALT_VRP_5 AH26 5 IO_L03P_5/D5/ALT_VRN_5 AJ27 5 IO_L02N_5/D6 AE22 5 IO_L02P_5/D7 AE23 5 IO_L01N_5/RDWR_B AJ28 5 IO_L01P_5/CS_B AK29 6 IO_L01P_6 AC22 6 IO_L01N_6 AB23 6 IO_L02P_6/VRN_6 AG28 6 IO_L02N_6/VRP_6 AF28 6 IO_L03P_6 AJ30 6 IO_L03N_6/VREF_6 AH30 6 IO_L04P_6 AD23 6 IO_L04N_6 AC23 6 IO_L05P_6 AF27 6 IO_L05N_6 AE27 6 IO_L06P_6 AG29 6 IO_L06N_6 AH29 6 IO_L19P_6 AE24 6 IO_L19N_6 AD24 Module 4 of 4 106 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 6 IO_L20P_6 AE26 6 IO_L20N_6 AD26 6 IO_L21P_6 AG30 6 IO_L21N_6/VREF_6 AF30 6 IO_L22P_6 AD25 6 IO_L22N_6 AC25 6 IO_L23P_6 AE28 6 IO_L23N_6 AD28 6 IO_L24P_6 AD29 6 IO_L24N_6 AE29 6 IO_L43P_6 AC24 6 IO_L43N_6 AB24 6 IO_L44P_6 AD27 6 IO_L44N_6 AC27 6 IO_L45P_6 AC26 6 IO_L45N_6/VREF_6 AB26 6 IO_L46P_6 AA23 6 IO_L46N_6 Y23 6 IO_L47P_6 AC28 6 IO_L47N_6 AB28 6 IO_L48P_6 AD30 6 IO_L48N_6 AE30 6 IO_L49P_6 AB25 6 IO_L49N_6 AA25 6 IO_L50P_6 AA24 6 IO_L50N_6 Y24 6 IO_L51P_6 AC29 6 IO_L51N_6/VREF_6 AB30 6 IO_L52P_6 Y25 6 IO_L52N_6 W25 6 IO_L53P_6 AB27 6 IO_L53N_6 AA27 6 IO_L54P_6 AA29 6 IO_L54N_6 AB29 6 IO_L67P_6 W23 NC 6 IO_L67N_6 V23 NC 6 IO_L68P_6 AA26 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 107 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 6 IO_L68N_6 Y26 NC 6 IO_L69P_6 AA30 NC 6 IO_L69N_6/VREF_6 Y30 NC 6 IO_L70P_6 W24 NC 6 IO_L70N_6 V24 NC 6 IO_L71P_6 Y27 NC 6 IO_L71N_6 W27 NC 6 IO_L72P_6 W28 NC 6 IO_L72N_6 Y28 NC 6 IO_L73P_6 V25 NC NC 6 IO_L73N_6 U25 NC NC 6 IO_L74P_6 V26 NC NC 6 IO_L74N_6 V27 NC NC 6 IO_L75P_6 Y29 NC NC 6 IO_L75N_6/VREF_6 W29 NC NC 6 IO_L76P_6 U22 NC NC 6 IO_L76N_6 T22 NC NC 6 IO_L77P_6 U26 NC NC 6 IO_L77N_6 T26 NC NC 6 IO_L78P_6 V30 NC NC 6 IO_L78N_6 W30 NC NC 6 IO_L91P_6 U23 6 IO_L91N_6 T23 6 IO_L92P_6 U27 6 IO_L92N_6 T27 6 IO_L93P_6 V29 6 IO_L93N_6/VREF_6 U29 6 IO_L94P_6 T24 6 IO_L94N_6 T25 6 IO_L95P_6 U28 6 IO_L95N_6 T28 6 IO_L96P_6 T30 6 IO_L96N_6 U30 7 IO_L96P_7 P28 7 IO_L96N_7 R28 7 IO_L95P_7 R25 Module 4 of 4 108 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number No Connect in the XC2V1000 No Connect in the XC2V1500 7 IO_L95N_7 R24 7 IO_L94P_7 R29 7 IO_L94N_7 T29 7 IO_L93P_7/VREF_7 R27 7 IO_L93N_7 P27 7 IO_L92P_7 R23 7 IO_L92N_7 P23 7 IO_L91P_7 N30 7 IO_L91N_7 P30 7 IO_L78P_7 P26 NC NC 7 IO_L78N_7 R26 NC NC 7 IO_L77P_7 R22 NC NC 7 IO_L77N_7 P22 NC NC 7 IO_L76P_7 N29 NC NC 7 IO_L76N_7 P29 NC NC 7 IO_L75P_7/VREF_7 N27 NC NC 7 IO_L75N_7 N26 NC NC 7 IO_L74P_7 P25 NC NC 7 IO_L74N_7 N25 NC NC 7 IO_L73P_7 L30 NC NC 7 IO_L73N_7 M30 NC NC 7 IO_L72P_7 L28 NC 7 IO_L72N_7 M28 NC 7 IO_L71P_7 N24 NC 7 IO_L71N_7 M24 NC 7 IO_L70P_7 L29 NC 7 IO_L70N_7 M29 NC 7 IO_L69P_7/VREF_7 M27 NC 7 IO_L69N_7 L27 NC 7 IO_L68P_7 N23 NC 7 IO_L68N_7 M23 NC 7 IO_L67P_7 J30 NC 7 IO_L67N_7 K30 NC 7 IO_L54P_7 K26 7 IO_L54N_7 L26 7 IO_L53P_7 M25 7 IO_L53N_7 L25 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 109 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 7 IO_L52P_7 J29 7 IO_L52N_7 K29 7 IO_L51P_7/VREF_7 K27 7 IO_L51N_7 J27 7 IO_L50P_7 L24 7 IO_L50N_7 K24 7 IO_L49P_7 H27 7 IO_L49N_7 J28 7 IO_L48P_7 H26 7 IO_L48N_7 J26 7 IO_L47P_7 K25 7 IO_L47N_7 J25 7 IO_L46P_7 H28 7 IO_L46N_7 H29 7 IO_L45P_7/VREF_7 G28 7 IO_L45N_7 F28 7 IO_L44P_7 L23 7 IO_L44N_7 K23 7 IO_L43P_7 F30 7 IO_L43N_7 G30 7 IO_L24P_7 F26 7 IO_L24N_7 G27 7 IO_L23P_7 J24 7 IO_L23N_7 H24 7 IO_L22P_7 F29 7 IO_L22N_7 G29 7 IO_L21P_7/VREF_7 G26 7 IO_L21N_7 G25 7 IO_L20P_7 H25 7 IO_L20N_7 G24 7 IO_L19P_7 D30 7 IO_L19N_7 E30 7 IO_L06P_7 E27 7 IO_L06N_7 F27 7 IO_L05P_7 J23 7 IO_L05N_7 H22 7 IO_L04P_7 C29 Module 4 of 4 110 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 7 IO_L04N_7 D29 7 IO_L03P_7/VREF_7 E28 7 IO_L03N_7 D28 7 IO_L02P_7/VRN_7 H23 7 IO_L02N_7/VRP_7 G23 7 IO_L01P_7 B30 7 IO_L01N_7 C30 0 VCCO_0 K20 0 VCCO_0 K19 0 VCCO_0 K18 0 VCCO_0 K17 0 VCCO_0 K16 0 VCCO_0 J21 0 VCCO_0 J20 0 VCCO_0 J19 0 VCCO_0 J18 0 VCCO_0 C18 0 VCCO_0 B26 1 VCCO_1 K15 1 VCCO_1 K14 1 VCCO_1 K13 1 VCCO_1 K12 1 VCCO_1 K11 1 VCCO_1 J13 1 VCCO_1 J12 1 VCCO_1 J11 1 VCCO_1 J10 1 VCCO_1 C13 1 VCCO_1 B5 2 VCCO_2 R10 2 VCCO_2 P10 2 VCCO_2 N10 2 VCCO_2 N9 2 VCCO_2 N3 2 VCCO_2 M10 2 VCCO_2 M9 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 111 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 2 VCCO_2 L10 2 VCCO_2 L9 2 VCCO_2 K9 2 VCCO_2 E2 3 VCCO_3 AF2 3 VCCO_3 AA9 3 VCCO_3 Y10 3 VCCO_3 Y9 3 VCCO_3 W10 3 VCCO_3 W9 3 VCCO_3 V10 3 VCCO_3 V9 3 VCCO_3 V3 3 VCCO_3 U10 3 VCCO_3 T10 4 VCCO_4 AJ5 4 VCCO_4 AH13 4 VCCO_4 AB13 4 VCCO_4 AB12 4 VCCO_4 AB11 4 VCCO_4 AB10 4 VCCO_4 AA15 4 VCCO_4 AA14 4 VCCO_4 AA13 4 VCCO_4 AA12 4 VCCO_4 AA11 5 VCCO_5 AJ26 5 VCCO_5 AH18 5 VCCO_5 AB21 5 VCCO_5 AB20 5 VCCO_5 AB19 5 VCCO_5 AB18 5 VCCO_5 AA20 5 VCCO_5 AA19 5 VCCO_5 AA18 5 VCCO_5 AA17 5 VCCO_5 AA16 Module 4 of 4 112 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number 6 VCCO_6 AF29 6 VCCO_6 AA22 6 VCCO_6 Y22 6 VCCO_6 Y21 6 VCCO_6 W22 6 VCCO_6 W21 6 VCCO_6 V28 6 VCCO_6 V22 6 VCCO_6 V21 6 VCCO_6 U21 6 VCCO_6 T21 7 VCCO_7 R21 7 VCCO_7 P21 7 VCCO_7 N28 7 VCCO_7 N22 7 VCCO_7 N21 7 VCCO_7 M22 7 VCCO_7 M21 7 VCCO_7 L22 7 VCCO_7 L21 7 VCCO_7 K22 7 VCCO_7 E29 NA CCLK AF6 NA PROG_B B28 NA DONE AG5 NA M0 AF25 NA M1 AG26 NA M2 AH27 NA HSWAP_EN C27 NA TCK D5 NA TDI A29 NA TDO B3 NA TMS C4 NA PWRDWN_B AH4 NA DXN D26 NA DXP E25 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 113 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA VBATT A2 NA RSVD E6 NA VCCAUX AK28 NA VCCAUX AK16 NA VCCAUX AK3 NA VCCAUX T1 NA VCCAUX R30 NA VCCAUX A28 NA VCCAUX A15 NA VCCAUX A3 NA VCCINT AB22 NA VCCINT AB9 NA VCCINT AA21 NA VCCINT AA10 NA VCCINT Y20 NA VCCINT Y19 NA VCCINT Y18 NA VCCINT Y17 NA VCCINT Y16 NA VCCINT Y15 NA VCCINT Y14 NA VCCINT Y13 NA VCCINT Y12 NA VCCINT Y11 NA VCCINT W20 NA VCCINT W11 NA VCCINT V20 NA VCCINT V11 NA VCCINT U20 NA VCCINT U11 NA VCCINT T20 NA VCCINT T11 NA VCCINT R20 NA VCCINT R11 NA VCCINT P20 NA VCCINT P11 Module 4 of 4 114 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA VCCINT N20 NA VCCINT N11 NA VCCINT M20 NA VCCINT M11 NA VCCINT L20 NA VCCINT L19 NA VCCINT L18 NA VCCINT L17 NA VCCINT L16 NA VCCINT L15 NA VCCINT L14 NA VCCINT L13 NA VCCINT L12 NA VCCINT L11 NA VCCINT K21 NA VCCINT K10 NA VCCINT J22 NA VCCINT J9 NA GND AK23 NA GND AK8 NA GND AJ29 NA GND AJ2 NA GND AH28 NA GND AH21 NA GND AH10 NA GND AH3 NA GND AG27 NA GND AG4 NA GND AF26 NA GND AF19 NA GND AF12 NA GND AF5 NA GND AE25 NA GND AE6 NA GND AD17 NA GND AD14 NA GND AC30 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 115 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA GND AC1 NA GND AA28 NA GND AA3 NA GND W26 NA GND W19 NA GND W18 NA GND W17 NA GND W16 NA GND W15 NA GND W14 NA GND W13 NA GND W12 NA GND W5 NA GND V19 NA GND V18 NA GND V17 NA GND V16 NA GND V15 NA GND V14 NA GND V13 NA GND V12 NA GND U24 NA GND U19 NA GND U18 NA GND U17 NA GND U16 NA GND U15 NA GND U14 NA GND U13 NA GND U12 NA GND U7 NA GND T19 NA GND T18 NA GND T17 NA GND T16 NA GND T15 NA GND T14 Module 4 of 4 116 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA GND T13 NA GND T12 NA GND R19 NA GND R18 NA GND R17 NA GND R16 NA GND R15 NA GND R14 NA GND R13 NA GND R12 NA GND P24 NA GND P19 NA GND P18 NA GND P17 NA GND P16 NA GND P15 NA GND P14 NA GND P13 NA GND P12 NA GND P7 NA GND N19 NA GND N18 NA GND N17 NA GND N16 NA GND N15 NA GND N14 NA GND N13 NA GND N12 NA GND M26 NA GND M19 NA GND M18 NA GND M17 NA GND M16 NA GND M15 NA GND M14 NA GND M13 NA GND M12 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 Module 4 of 4 117 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 11: FF896 BGA -- XC2V1000, XC2V1500, and XC2V2000 Bank Pin Description Pin Number NA GND M5 NA GND K28 NA GND K3 NA GND H30 NA GND H1 NA GND G17 NA GND G14 NA GND F25 NA GND F6 NA GND E26 NA GND E19 NA GND E12 NA GND E5 NA GND D27 NA GND D4 NA GND C28 NA GND C21 NA GND C10 NA GND C3 NA GND B29 NA GND B2 NA GND A23 NA GND A8 Module 4 of 4 118 No Connect in the XC2V1000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V1500 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FF896 Flip-Chip Fine-Pitch BGA Package Specifications (1.00mm pitch) Figure 7: FF896 Flip-Chip Fine-Pitch BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 119 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FF1152 Flip-Chip Fine-Pitch BGA Package As shown in Table 12, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Virtex-II devices are available in the FF1152 flip-chip fine-pitch BGA package. Pins in each of these devices are the same, except for the pin differences in the XC2V3000 device shown in the No Connect column. Following this table are the FF1152 Flip-Chip Fine-Pitch BGA Package Specifications (1.00mm pitch). Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L01N_0 D29 0 IO_L01P_0 C29 0 IO_L02N_0 H26 0 IO_L02P_0 G26 0 IO_L03N_0/VRP_0 E28 0 IO_L03P_0/VRN_0 E27 0 IO_L04N_0/VREF_0 F25 0 IO_L04P_0 F26 0 IO_L05N_0 H25 0 IO_L05P_0 H24 0 IO_L06N_0 E26 0 IO_L06P_0 F27 0 IO_L19N_0 B32 0 IO_L19P_0 C33 0 IO_L20N_0 J24 0 IO_L20P_0 J23 0 IO_L21N_0 C27 0 IO_L21P_0/VREF_0 C28 0 IO_L22N_0 B30 0 IO_L22P_0 B31 0 IO_L23N_0 K23 0 IO_L23P_0 K22 0 IO_L24N_0 C26 0 IO_L24P_0 D27 0 IO_L25N_0 A30 0 IO_L25P_0 A31 0 IO_L26N_0 G24 0 IO_L26P_0 G25 0 IO_L27N_0 E25 0 IO_L27P_0/VREF_0 E24 0 IO_L28N_0 D25 Module 4 of 4 120 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L28P_0 D26 0 IO_L29N_0 H23 0 IO_L29P_0 H22 0 IO_L30N_0 F23 0 IO_L30P_0 F24 0 IO_L49N_0 B28 0 IO_L49P_0 B29 0 IO_L50N_0 J22 0 IO_L50P_0 J21 0 IO_L51N_0 A28 0 IO_L51P_0/VREF_0 A29 0 IO_L52N_0 A26 0 IO_L52P_0 B27 0 IO_L53N_0 C24 0 IO_L53P_0 D24 0 IO_L54N_0 D22 0 IO_L54P_0 D23 0 IO_L60N_0 B25 NC 0 IO_L60P_0 B26 NC 0 IO_L67N_0 B23 0 IO_L67P_0 B24 0 IO_L68N_0 G22 0 IO_L68P_0 G23 0 IO_L69N_0 F22 0 IO_L69P_0/VREF_0 F21 0 IO_L70N_0 A23 0 IO_L70P_0 A24 0 IO_L71N_0 K21 0 IO_L71P_0 K20 0 IO_L72N_0 C22 0 IO_L72P_0 C23 0 IO_L73N_0 E21 0 IO_L73P_0 E22 0 IO_L74N_0 H21 0 IO_L74P_0 H20 0 IO_L75N_0 G20 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 121 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L75P_0/VREF_0 F20 0 IO_L76N_0 B21 0 IO_L76P_0 B22 0 IO_L77N_0 J20 0 IO_L77P_0 K19 0 IO_L78N_0 D20 0 IO_L78P_0 D21 0 IO_L79N_0 A21 NC 0 IO_L79P_0 A22 NC 0 IO_L80N_0 L19 NC 0 IO_L80P_0 L18 NC 0 IO_L81N_0 B19 NC 0 IO_L81P_0/VREF_0 A20 NC 0 IO_L82N_0 A18 NC 0 IO_L82P_0 B18 NC 0 IO_L83N_0 H19 NC 0 IO_L83P_0 H18 NC 0 IO_L84N_0 C20 NC 0 IO_L84P_0 C21 NC 0 IO_L91N_0/VREF_0 D19 0 IO_L91P_0 D18 0 IO_L92N_0 G18 0 IO_L92P_0 G19 0 IO_L93N_0 F18 0 IO_L93P_0 F19 0 IO_L94N_0/VREF_0 C19 0 IO_L94P_0 C18 0 IO_L95N_0/GCLK7P K18 0 IO_L95P_0/GCLK6S J18 0 IO_L96N_0/GCLK5P E19 0 IO_L96P_0/GCLK4S E18 1 IO_L96N_1/GCLK3P E17 1 IO_L96P_1/GCLK2S E16 1 IO_L95N_1/GCLK1P H17 1 IO_L95P_1/GCLK0S H16 Module 4 of 4 122 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L94N_1 D17 1 IO_L94P_1/VREF_1 D16 1 IO_L93N_1 F16 1 IO_L93P_1 F17 1 IO_L92N_1 G16 1 IO_L92P_1 G17 1 IO_L91N_1 C16 1 IO_L91P_1/VREF_1 C15 1 IO_L84N_1 D14 NC 1 IO_L84P_1 D15 NC 1 IO_L83N_1 J17 NC 1 IO_L83P_1 K17 NC 1 IO_L82N_1 B17 NC 1 IO_L82P_1 A17 NC 1 IO_L81N_1/VREF_1 A15 NC 1 IO_L81P_1 B16 NC 1 IO_L80N_1 L17 NC 1 IO_L80P_1 L16 NC 1 IO_L79N_1 A13 NC 1 IO_L79P_1 A14 NC 1 IO_L78N_1 C13 1 IO_L78P_1 C14 1 IO_L77N_1 K16 1 IO_L77P_1 K15 1 IO_L76N_1 B13 1 IO_L76P_1 B14 1 IO_L75N_1/VREF_1 F15 1 IO_L75P_1 G15 1 IO_L74N_1 H15 1 IO_L74P_1 H14 1 IO_L73N_1 A11 1 IO_L73P_1 A12 1 IO_L72N_1 E13 1 IO_L72P_1 E14 1 IO_L71N_1 J15 1 IO_L71P_1 J14 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 123 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L70N_1 D12 1 IO_L70P_1 D13 1 IO_L69N_1/VREF_1 F14 1 IO_L69P_1 F13 1 IO_L68N_1 C11 1 IO_L68P_1 C12 1 IO_L67N_1 B11 1 IO_L67P_1 B12 1 IO_L60N_1 F11 NC 1 IO_L60P_1 F12 NC 1 IO_L54N_1 D10 1 IO_L54P_1 D11 1 IO_L53N_1 G12 1 IO_L53P_1 G13 1 IO_L52N_1 B9 1 IO_L52P_1 B10 1 IO_L51N_1/VREF_1 B8 1 IO_L51P_1 A9 1 IO_L50N_1 K14 1 IO_L50P_1 K13 1 IO_L49N_1 A6 1 IO_L49P_1 A7 1 IO_L30N_1 D9 1 IO_L30P_1 C9 1 IO_L29N_1 H13 1 IO_L29P_1 H12 1 IO_L28N_1 C7 1 IO_L28P_1 C8 1 IO_L27N_1/VREF_1 E11 1 IO_L27P_1 E10 1 IO_L26N_1 J13 1 IO_L26P_1 K12 1 IO_L25N_1 B6 1 IO_L25P_1 B7 1 IO_L24N_1 E8 1 IO_L24P_1 E9 Module 4 of 4 124 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L23N_1 G10 1 IO_L23P_1 G11 1 IO_L22N_1 A4 1 IO_L22P_1 A5 1 IO_L21N_1/VREF_1 F10 1 IO_L21P_1 G9 1 IO_L20N_1 J12 1 IO_L20P_1 J11 1 IO_L19N_1 B4 1 IO_L19P_1 B5 1 IO_L06N_1 D6 1 IO_L06P_1 C6 1 IO_L05N_1 H11 1 IO_L05P_1 J10 1 IO_L04N_1 D8 1 IO_L04P_1/VREF_1 E7 1 IO_L03N_1/VRP_1 F9 1 IO_L03P_1/VRN_1 F8 1 IO_L02N_1 H10 1 IO_L02P_1 H9 1 IO_L01N_1 C2 1 IO_L01P_1 B3 2 IO_L01N_2 E2 2 IO_L01P_2 D2 2 IO_L02N_2/VRP_2 K11 2 IO_L02P_2/VRN_2 K10 2 IO_L03N_2 F5 2 IO_L03P_2/VREF_2 G5 2 IO_L04N_2 E3 2 IO_L04P_2 D3 2 IO_L05N_2 J9 2 IO_L05P_2 K9 2 IO_L06N_2 F4 2 IO_L06P_2 E4 2 IO_L19N_2 E1 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 125 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 IO_L19P_2 D1 2 IO_L20N_2 J8 2 IO_L20P_2 K8 2 IO_L21N_2 H7 2 IO_L21P_2/VREF_2 J7 2 IO_L22N_2 H6 2 IO_L22P_2 G6 2 IO_L23N_2 L10 2 IO_L23P_2 L9 2 IO_L24N_2 G3 2 IO_L24P_2 F3 2 IO_L25N_2 G2 2 IO_L25P_2 F2 2 IO_L26N_2 M10 2 IO_L26P_2 N10 2 IO_L27N_2 J6 2 IO_L27P_2/VREF_2 K6 2 IO_L28N_2 J5 2 IO_L28P_2 H5 2 IO_L29N_2 L7 2 IO_L29P_2 K7 2 IO_L30N_2 J4 2 IO_L30P_2 H4 2 IO_L43N_2 G1 2 IO_L43P_2 F1 2 IO_L44N_2 L8 2 IO_L44P_2 M8 2 IO_L45N_2 J1 2 IO_L45P_2/VREF_2 H2 2 IO_L46N_2 J3 2 IO_L46P_2 H3 2 IO_L47N_2 M9 2 IO_L47P_2 N9 2 IO_L48N_2 L5 2 IO_L48P_2 K5 2 IO_L49N_2 K2 Module 4 of 4 126 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 IO_L49P_2 J2 2 IO_L50N_2 N7 2 IO_L50P_2 M7 2 IO_L51N_2 L6 2 IO_L51P_2/VREF_2 M6 2 IO_L52N_2 M3 2 IO_L52P_2 L3 2 IO_L53N_2 L4 2 IO_L53P_2 K4 2 IO_L54N_2 N4 2 IO_L54P_2 M4 2 IO_L67N_2 M2 2 IO_L67P_2 L2 2 IO_L68N_2 N8 2 IO_L68P_2 P8 2 IO_L69N_2 N6 2 IO_L69P_2/VREF_2 P6 2 IO_L70N_2 P5 2 IO_L70P_2 N5 2 IO_L71N_2 P10 2 IO_L71P_2 R10 2 IO_L72N_2 P3 2 IO_L72P_2 N3 2 IO_L73N_2 M1 2 IO_L73P_2 L1 2 IO_L74N_2 P9 2 IO_L74P_2 R9 2 IO_L75N_2 P2 2 IO_L75P_2/VREF_2 N2 2 IO_L76N_2 R4 2 IO_L76P_2 P4 2 IO_L77N_2 R8 2 IO_L77P_2 T8 2 IO_L78N_2 T3 2 IO_L78P_2 R3 2 IO_L79N_2 P1 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 NC Module 4 of 4 127 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V3000 2 IO_L79P_2 N1 NC 2 IO_L80N_2 T11 NC 2 IO_L80P_2 U11 NC 2 IO_L81N_2 R7 NC 2 IO_L81P_2/VREF_2 R6 NC 2 IO_L82N_2 U5 NC 2 IO_L82P_2 T5 NC 2 IO_L83N_2 T10 NC 2 IO_L83P_2 U10 NC 2 IO_L84N_2 U4 NC 2 IO_L84P_2 T4 NC 2 IO_L91N_2 T2 2 IO_L91P_2 R1 2 IO_L92N_2 U7 2 IO_L92P_2 T7 2 IO_L93N_2 T6 2 IO_L93P_2/VREF_2 U6 2 IO_L94N_2 U1 2 IO_L94P_2 U2 2 IO_L95N_2 U9 2 IO_L95P_2 U8 2 IO_L96N_2 U3 2 IO_L96P_2 V4 3 IO_L96N_3 V6 3 IO_L96P_3 W6 3 IO_L95N_3 V5 3 IO_L95P_3 W5 3 IO_L94N_3 V7 3 IO_L94P_3 W7 3 IO_L93N_3/VREF_3 V10 3 IO_L93P_3 W10 3 IO_L92N_3 V1 3 IO_L92P_3 V2 3 IO_L91N_3 W3 3 IO_L91P_3 Y3 Module 4 of 4 128 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V3000 3 IO_L84N_3 V9 NC 3 IO_L84P_3 V8 NC 3 IO_L83N_3 W4 NC 3 IO_L83P_3 Y4 NC 3 IO_L82N_3 W11 NC 3 IO_L82P_3 V11 NC 3 IO_L81N_3/VREF_3 W8 NC 3 IO_L81P_3 Y8 NC 3 IO_L80N_3 W2 NC 3 IO_L80P_3 Y1 NC 3 IO_L79N_3 AA3 NC 3 IO_L79P_3 AB3 NC 3 IO_L78N_3 Y6 3 IO_L78P_3 AA6 3 IO_L77N_3 AA4 3 IO_L77P_3 AB4 3 IO_L76N_3 Y7 3 IO_L76P_3 AA8 3 IO_L75N_3/VREF_3 Y10 3 IO_L75P_3 AA10 3 IO_L74N_3 AA1 3 IO_L74P_3 AB1 3 IO_L73N_3 AA5 3 IO_L73P_3 AB5 3 IO_L72N_3 AA9 3 IO_L72P_3 Y9 3 IO_L71N_3 AA2 3 IO_L71P_3 AB2 3 IO_L70N_3 AB6 3 IO_L70P_3 AC6 3 IO_L69N_3/VREF_3 AD1 3 IO_L69P_3 AC1 3 IO_L68N_3 AC3 3 IO_L68P_3 AD3 3 IO_L67N_3 AC4 3 IO_L67P_3 AD4 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 129 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L54N_3 AB7 3 IO_L54P_3 AC7 3 IO_L53N_3 AC2 3 IO_L53P_3 AD2 3 IO_L52N_3 AC8 3 IO_L52P_3 AB8 3 IO_L51N_3/VREF_3 AB10 3 IO_L51P_3 AC10 3 IO_L50N_3 AD5 3 IO_L50P_3 AE5 3 IO_L49N_3 AE4 3 IO_L49P_3 AF4 3 IO_L48N_3 AB9 3 IO_L48P_3 AC9 3 IO_L47N_3 AE2 3 IO_L47P_3 AF1 3 IO_L46N_3 AD6 3 IO_L46P_3 AE6 3 IO_L45N_3/VREF_3 AD9 3 IO_L45P_3 AE9 3 IO_L44N_3 AF2 3 IO_L44P_3 AG2 3 IO_L43N_3 AF3 3 IO_L43P_3 AG3 3 IO_L30N_3 AD7 3 IO_L30P_3 AE7 3 IO_L29N_3 AF5 3 IO_L29P_3 AG5 3 IO_L28N_3 AE8 3 IO_L28P_3 AD8 3 IO_L27N_3/VREF_3 AF8 3 IO_L27P_3 AF9 3 IO_L26N_3 AH1 3 IO_L26P_3 AJ1 3 IO_L25N_3 AG4 3 IO_L25P_3 AH5 Module 4 of 4 130 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L24N_3 AF6 3 IO_L24P_3 AG6 3 IO_L23N_3 AH3 3 IO_L23P_3 AJ3 3 IO_L22N_3 AF7 3 IO_L22P_3 AG7 3 IO_L21N_3/VREF_3 AL1 3 IO_L21P_3 AK1 3 IO_L20N_3 AH2 3 IO_L20P_3 AJ2 3 IO_L19N_3 AJ4 3 IO_L19P_3 AK4 3 IO_L06N_3 AE10 3 IO_L06P_3 AD10 3 IO_L05N_3 AK2 3 IO_L05P_3 AL2 3 IO_L04N_3 AH6 3 IO_L04P_3 AJ5 3 IO_L03N_3/VREF_3 AE11 3 IO_L03P_3 AF11 3 IO_L02N_3/VRP_3 AK3 3 IO_L02P_3/VRN_3 AL3 3 IO_L01N_3 AF10 3 IO_L01P_3 AG9 4 IO_L01N_4/DOUT AM4 4 IO_L01P_4/INIT_B AL5 4 IO_L02N_4/D0 AG10 4 IO_L02P_4/D1 AH11 4 IO_L03N_4/D2/ALT_VRP_4 AK7 4 IO_L03P_4/D3/ALT_VRN_4 AK8 4 IO_L04N_4/VREF_4 AL6 4 IO_L04P_4 AM6 4 IO_L05N_4/VRP_4 AK9 4 IO_L05P_4/VRN_4 AJ8 4 IO_L06N_4 AM8 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 131 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 4 IO_L06P_4 AM7 4 IO_L19N_4 AN3 4 IO_L19P_4 AM2 4 IO_L20N_4 AJ10 4 IO_L20P_4 AJ9 4 IO_L21N_4 AH9 4 IO_L21P_4/VREF_4 AH10 4 IO_L22N_4 AN5 4 IO_L22P_4 AN4 4 IO_L23N_4 AE12 4 IO_L23P_4 AE13 4 IO_L24N_4 AM9 4 IO_L24P_4 AL8 4 IO_L25N_4 AP5 4 IO_L25P_4 AP4 4 IO_L26N_4 AG11 4 IO_L26P_4 AG12 4 IO_L27N_4 AN7 4 IO_L27P_4/VREF_4 AN6 4 IO_L28N_4 AL10 4 IO_L28P_4 AL9 4 IO_L29N_4 AF12 4 IO_L29P_4 AF13 4 IO_L30N_4 AK10 4 IO_L30P_4 AK11 4 IO_L49N_4 AP7 4 IO_L49P_4 AP6 4 IO_L50N_4 AH13 4 IO_L50P_4 AH12 4 IO_L51N_4 AJ11 4 IO_L51P_4/VREF_4 AJ12 4 IO_L52N_4 AP9 4 IO_L52P_4 AN8 4 IO_L53N_4 AG13 4 IO_L53P_4 AG14 4 IO_L54N_4 AM11 Module 4 of 4 132 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 4 IO_L54P_4 AL11 4 IO_L60N_4 AN10 NC 4 IO_L60P_4 AN9 NC 4 IO_L67N_4 AN12 4 IO_L67P_4 AN11 4 IO_L68N_4 AE14 4 IO_L68P_4 AE15 4 IO_L69N_4 AJ13 4 IO_L69P_4/VREF_4 AJ14 4 IO_L70N_4 AL13 4 IO_L70P_4 AL12 4 IO_L71N_4 AF14 4 IO_L71P_4 AF15 4 IO_L72N_4 AM13 4 IO_L72P_4 AM12 4 IO_L73N_4 AP12 4 IO_L73P_4 AP11 4 IO_L74N_4 AG15 4 IO_L74P_4 AG16 4 IO_L75N_4 AN14 4 IO_L75P_4/VREF_4 AN13 4 IO_L76N_4 AP14 4 IO_L76P_4 AP13 4 IO_L77N_4 AD16 4 IO_L77P_4 AD17 4 IO_L78N_4 AK14 4 IO_L78P_4 AK13 4 IO_L79N_4 AN16 NC 4 IO_L79P_4 AP15 NC 4 IO_L80N_4 AE16 NC 4 IO_L80P_4 AE17 NC 4 IO_L81N_4 AH15 NC 4 IO_L81P_4/VREF_4 AJ15 NC 4 IO_L82N_4 AP17 NC 4 IO_L82P_4 AN17 NC 4 IO_L83N_4 AH17 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 133 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V3000 4 IO_L83P_4 AH16 NC 4 IO_L84N_4 AL15 NC 4 IO_L84P_4 AL14 NC 4 IO_L91N_4/VREF_4 AL16 4 IO_L91P_4 AL17 4 IO_L92N_4 AJ17 4 IO_L92P_4 AJ16 4 IO_L93N_4 AM15 4 IO_L93P_4 AM14 4 IO_L94N_4/VREF_4 AM16 4 IO_L94P_4 AM17 4 IO_L95N_4/GCLK3S AF17 4 IO_L95P_4/GCLK2P AG17 4 IO_L96N_4/GCLK1S AK16 4 IO_L96P_4/GCLK0P AK17 5 IO_L96N_5/GCLK7S AK18 5 IO_L96P_5/GCLK6P AK19 5 IO_L95N_5/GCLK5S AG18 5 IO_L95P_5/GCLK4P AF18 5 IO_L94N_5 AL18 5 IO_L94P_5/VREF_5 AL19 5 IO_L93N_5 AJ19 5 IO_L93P_5 AJ18 5 IO_L92N_5 AH19 5 IO_L92P_5 AH18 5 IO_L91N_5 AM19 5 IO_L91P_5/VREF_5 AM20 5 IO_L84N_5 AL21 NC 5 IO_L84P_5 AL20 NC 5 IO_L83N_5 AM22 NC 5 IO_L83P_5 AM21 NC 5 IO_L82N_5 AN18 NC 5 IO_L82P_5 AP18 NC 5 IO_L81N_5/VREF_5 AP20 NC 5 IO_L81P_5 AN19 NC Module 4 of 4 134 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V3000 5 IO_L80N_5 AE18 NC 5 IO_L80P_5 AE19 NC 5 IO_L79N_5 AP22 NC 5 IO_L79P_5 AP21 NC 5 IO_L78N_5 AK22 5 IO_L78P_5 AK21 5 IO_L77N_5 AD18 5 IO_L77P_5 AD19 5 IO_L76N_5 AN22 5 IO_L76P_5 AN21 5 IO_L75N_5/VREF_5 AJ20 5 IO_L75P_5 AH20 5 IO_L74N_5 AG19 5 IO_L74P_5 AG20 5 IO_L73N_5 AP24 5 IO_L73P_5 AP23 5 IO_L72N_5 AL23 5 IO_L72P_5 AL22 5 IO_L71N_5 AF20 5 IO_L71P_5 AF21 5 IO_L70N_5 AM24 5 IO_L70P_5 AM23 5 IO_L69N_5/VREF_5 AJ21 5 IO_L69P_5 AJ22 5 IO_L68N_5 AJ24 5 IO_L68P_5 AJ23 5 IO_L67N_5 AN24 5 IO_L67P_5 AN23 5 IO_L60N_5 AN26 NC 5 IO_L60P_5 AN25 NC 5 IO_L54N_5 AL25 5 IO_L54P_5 AL24 5 IO_L53N_5 AE20 5 IO_L53P_5 AE21 5 IO_L52N_5 AN27 5 IO_L52P_5 AP26 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 135 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L51N_5/VREF_5 AP29 5 IO_L51P_5 AP28 5 IO_L50N_5 AG21 5 IO_L50P_5 AG22 5 IO_L49N_5 AN29 5 IO_L49P_5 AN28 5 IO_L30N_5 AK24 5 IO_L30P_5 AK25 5 IO_L29N_5 AH23 5 IO_L29P_5 AH22 5 IO_L28N_5 AP31 5 IO_L28P_5 AP30 5 IO_L27N_5/VREF_5 AH24 5 IO_L27P_5 AH25 5 IO_L26N_5 AF22 5 IO_L26P_5 AF23 5 IO_L25N_5 AM27 5 IO_L25P_5 AM26 5 IO_L24N_5 AL27 5 IO_L24P_5 AL26 5 IO_L23N_5 AH26 5 IO_L23P_5 AJ25 5 IO_L22N_5 AN31 5 IO_L22P_5 AN30 5 IO_L21N_5/VREF_5 AK26 5 IO_L21P_5 AK27 5 IO_L20N_5 AG23 5 IO_L20P_5 AF24 5 IO_L19N_5 AM33 5 IO_L19P_5 AN32 5 IO_L06N_5 AJ27 5 IO_L06P_5 AJ26 5 IO_L05N_5/VRP_5 AE22 5 IO_L05P_5/VRN_5 AE23 5 IO_L04N_5 AM28 5 IO_L04P_5/VREF_5 AM29 Module 4 of 4 136 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L03N_5/D4/ALT_VRP_5 AK28 5 IO_L03P_5/D5/ALT_VRN_5 AL29 5 IO_L02N_5/D6 AG24 5 IO_L02P_5/D7 AG25 5 IO_L01N_5/RDWR_B AL30 5 IO_L01P_5/CS_B AM31 6 IO_L01P_6 AE24 6 IO_L01N_6 AD25 6 IO_L02P_6/VRN_6 AJ30 6 IO_L02N_6/VRP_6 AH30 6 IO_L03P_6 AL32 6 IO_L03N_6/VREF_6 AK32 6 IO_L04P_6 AF25 6 IO_L04N_6 AE25 6 IO_L05P_6 AJ31 6 IO_L05N_6 AK31 6 IO_L06P_6 AH29 6 IO_L06N_6 AG29 6 IO_L19P_6 AG26 6 IO_L19N_6 AF26 6 IO_L20P_6 AL33 6 IO_L20N_6 AK33 6 IO_L21P_6 AJ32 6 IO_L21N_6/VREF_6 AH32 6 IO_L22P_6 AG28 6 IO_L22N_6 AF28 6 IO_L23P_6 AG30 6 IO_L23N_6 AF30 6 IO_L24P_6 AF29 6 IO_L24N_6 AE29 6 IO_L25P_6 AF27 6 IO_L25N_6 AE27 6 IO_L26P_6 AL34 6 IO_L26N_6 AK34 6 IO_L27P_6 AE28 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 137 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L27N_6/VREF_6 AD28 6 IO_L28P_6 AE26 6 IO_L28N_6 AD26 6 IO_L29P_6 AF31 6 IO_L29N_6 AG31 6 IO_L30P_6 AF32 6 IO_L30N_6 AG32 6 IO_L43P_6 AC25 6 IO_L43N_6 AB25 6 IO_L44P_6 AJ33 6 IO_L44N_6 AH33 6 IO_L45P_6 AE31 6 IO_L45N_6/VREF_6 AD32 6 IO_L46P_6 AD27 6 IO_L46N_6 AC27 6 IO_L47P_6 AJ34 6 IO_L47N_6 AH34 6 IO_L48P_6 AE30 6 IO_L48N_6 AD30 6 IO_L49P_6 AC26 6 IO_L49N_6 AB26 6 IO_L50P_6 AD29 6 IO_L50N_6 AC29 6 IO_L51P_6 AF33 6 IO_L51N_6/VREF_6 AG33 6 IO_L52P_6 AC28 6 IO_L52N_6 AB28 6 IO_L53P_6 AF34 6 IO_L53N_6 AE33 6 IO_L54P_6 AB27 6 IO_L54N_6 AA27 6 IO_L67P_6 AA25 6 IO_L67N_6 Y25 6 IO_L68P_6 AD33 6 IO_L68N_6 AC33 6 IO_L69P_6 AC32 Module 4 of 4 138 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L69N_6/VREF_6 AB32 6 IO_L70P_6 AA26 6 IO_L70N_6 Y26 6 IO_L71P_6 AD34 6 IO_L71N_6 AC34 6 IO_L72P_6 AC31 6 IO_L72N_6 AD31 6 IO_L73P_6 Y27 6 IO_L73N_6 W27 6 IO_L74P_6 AB29 6 IO_L74N_6 AA29 6 IO_L75P_6 AB31 6 IO_L75N_6/VREF_6 AA31 6 IO_L76P_6 Y28 6 IO_L76N_6 Y29 6 IO_L77P_6 AB33 6 IO_L77N_6 AA33 6 IO_L78P_6 AA30 6 IO_L78N_6 AB30 6 IO_L79P_6 W24 NC 6 IO_L79N_6 V24 NC 6 IO_L80P_6 AB34 NC 6 IO_L80N_6 AA34 NC 6 IO_L81P_6 W33 NC 6 IO_L81N_6/VREF_6 Y34 NC 6 IO_L82P_6 W25 NC 6 IO_L82N_6 V25 NC 6 IO_L83P_6 Y32 NC 6 IO_L83N_6 AA32 NC 6 IO_L84P_6 W29 NC 6 IO_L84N_6 V29 NC 6 IO_L91P_6 W28 6 IO_L91N_6 V28 6 IO_L92P_6 V33 6 IO_L92N_6 V34 6 IO_L93P_6 Y31 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 139 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L93N_6/VREF_6 W31 6 IO_L94P_6 V26 6 IO_L94N_6 V27 6 IO_L95P_6 W30 6 IO_L95N_6 V30 6 IO_L96P_6 V32 6 IO_L96N_6 W32 7 IO_L96P_7 U31 7 IO_L96N_7 V31 7 IO_L95P_7 T28 7 IO_L95N_7 U28 7 IO_L94P_7 U33 7 IO_L94N_7 U34 7 IO_L93P_7/VREF_7 U29 7 IO_L93N_7 T29 7 IO_L92P_7 U27 7 IO_L92N_7 U26 7 IO_L91P_7 T30 7 IO_L91N_7 U30 7 IO_L84P_7 R32 NC 7 IO_L84N_7 T32 NC 7 IO_L83P_7 U25 NC 7 IO_L83N_7 T25 NC 7 IO_L82P_7 R34 NC 7 IO_L82N_7 T33 NC 7 IO_L81P_7/VREF_7 N34 NC 7 IO_L81N_7 P34 NC 7 IO_L80P_7 U24 NC 7 IO_L80N_7 T24 NC 7 IO_L79P_7 R31 NC 7 IO_L79N_7 T31 NC 7 IO_L78P_7 N32 7 IO_L78N_7 P32 7 IO_L77P_7 T27 7 IO_L77N_7 R27 Module 4 of 4 140 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L76P_7 N33 7 IO_L76N_7 P33 7 IO_L75P_7/VREF_7 R29 7 IO_L75N_7 R28 7 IO_L74P_7 R26 7 IO_L74N_7 P26 7 IO_L73P_7 N31 7 IO_L73N_7 P31 7 IO_L72P_7 N30 7 IO_L72N_7 P30 7 IO_L71P_7 R25 7 IO_L71N_7 P25 7 IO_L70P_7 L34 7 IO_L70N_7 M34 7 IO_L69P_7/VREF_7 P29 7 IO_L69N_7 N29 7 IO_L68P_7 P27 7 IO_L68N_7 N27 7 IO_L67P_7 L32 7 IO_L67N_7 M32 7 IO_L54P_7 L31 7 IO_L54N_7 M31 7 IO_L53P_7 K29 7 IO_L53N_7 L30 7 IO_L52P_7 L33 7 IO_L52N_7 M33 7 IO_L51P_7/VREF_7 M29 7 IO_L51N_7 L29 7 IO_L50P_7 M28 7 IO_L50N_7 N28 7 IO_L49P_7 K30 7 IO_L49N_7 K31 7 IO_L48P_7 H32 7 IO_L48N_7 J32 7 IO_L47P_7 N26 7 IO_L47N_7 M26 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 141 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L46P_7 J33 7 IO_L46N_7 K33 7 IO_L45P_7/VREF_7 H33 7 IO_L45N_7 J34 7 IO_L44P_7 M27 7 IO_L44N_7 L27 7 IO_L43P_7 H31 7 IO_L43N_7 J31 7 IO_L30P_7 F32 7 IO_L30N_7 G32 7 IO_L29P_7 N25 7 IO_L29N_7 M25 7 IO_L28P_7 F34 7 IO_L28N_7 G34 7 IO_L27P_7/VREF_7 J30 7 IO_L27N_7 H30 7 IO_L26P_7 K28 7 IO_L26N_7 L28 7 IO_L25P_7 H28 7 IO_L25N_7 J29 7 IO_L24P_7 G29 7 IO_L24N_7 H29 7 IO_L23P_7 L26 7 IO_L23N_7 K26 7 IO_L22P_7 F33 7 IO_L22N_7 G33 7 IO_L21P_7/VREF_7 J28 7 IO_L21N_7 J27 7 IO_L20P_7 K27 7 IO_L20N_7 J26 7 IO_L19P_7 E31 7 IO_L19N_7 F31 7 IO_L06P_7 D32 7 IO_L06N_7 E32 7 IO_L05P_7 L25 7 IO_L05N_7 K24 Module 4 of 4 142 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L04P_7 D34 7 IO_L04N_7 E34 7 IO_L03P_7/VREF_7 G30 7 IO_L03N_7 F30 7 IO_L02P_7/VRN_7 K25 7 IO_L02N_7/VRP_7 J25 7 IO_L01P_7 D33 7 IO_L01N_7 E33 0 VCCO_0 M22 0 VCCO_0 M21 0 VCCO_0 M20 0 VCCO_0 M19 0 VCCO_0 M18 0 VCCO_0 L23 0 VCCO_0 L22 0 VCCO_0 L21 0 VCCO_0 L20 0 VCCO_0 E20 0 VCCO_0 D28 0 VCCO_0 A25 0 VCCO_0 A19 1 VCCO_1 M17 1 VCCO_1 M16 1 VCCO_1 M15 1 VCCO_1 M14 1 VCCO_1 M13 1 VCCO_1 L15 1 VCCO_1 L14 1 VCCO_1 L13 1 VCCO_1 L12 1 VCCO_1 E15 1 VCCO_1 D7 1 VCCO_1 A16 1 VCCO_1 A10 2 VCCO_2 U12 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 143 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 VCCO_2 T12 2 VCCO_2 T1 2 VCCO_2 R12 2 VCCO_2 R11 2 VCCO_2 R5 2 VCCO_2 P12 2 VCCO_2 P11 2 VCCO_2 N12 2 VCCO_2 N11 2 VCCO_2 M11 2 VCCO_2 K1 2 VCCO_2 G4 3 VCCO_3 AH4 3 VCCO_3 AE1 3 VCCO_3 AC11 3 VCCO_3 AB12 3 VCCO_3 AB11 3 VCCO_3 AA12 3 VCCO_3 AA11 3 VCCO_3 Y12 3 VCCO_3 Y11 3 VCCO_3 Y5 3 VCCO_3 W12 3 VCCO_3 W1 3 VCCO_3 V12 4 VCCO_4 AP16 4 VCCO_4 AP10 4 VCCO_4 AL7 4 VCCO_4 AK15 4 VCCO_4 AD15 4 VCCO_4 AD14 4 VCCO_4 AD13 4 VCCO_4 AD12 4 VCCO_4 AC17 4 VCCO_4 AC16 4 VCCO_4 AC15 Module 4 of 4 144 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 4 VCCO_4 AC14 4 VCCO_4 AC13 5 VCCO_5 AP25 5 VCCO_5 AP19 5 VCCO_5 AL28 5 VCCO_5 AK20 5 VCCO_5 AD23 5 VCCO_5 AD22 5 VCCO_5 AD21 5 VCCO_5 AD20 5 VCCO_5 AC22 5 VCCO_5 AC21 5 VCCO_5 AC20 5 VCCO_5 AC19 5 VCCO_5 AC18 6 VCCO_6 AH31 6 VCCO_6 AE34 6 VCCO_6 AC24 6 VCCO_6 AB24 6 VCCO_6 AB23 6 VCCO_6 AA24 6 VCCO_6 AA23 6 VCCO_6 Y30 6 VCCO_6 Y24 6 VCCO_6 Y23 6 VCCO_6 W34 6 VCCO_6 W23 6 VCCO_6 V23 7 VCCO_7 U23 7 VCCO_7 T34 7 VCCO_7 T23 7 VCCO_7 R30 7 VCCO_7 R24 7 VCCO_7 R23 7 VCCO_7 P24 7 VCCO_7 P23 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 145 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 VCCO_7 N24 7 VCCO_7 N23 7 VCCO_7 M24 7 VCCO_7 K34 7 VCCO_7 G31 NA CCLK AH8 NA PROG_B D30 NA DONE AJ7 NA M0 AH27 NA M1 AJ28 NA M2 AK29 NA HSWAP_EN E29 NA TCK F7 NA TDI C31 NA TDO D5 NA TMS E6 NA PWRDWN_B AK6 NA DXN F28 NA DXP G27 NA VBATT C4 NA RSVD G8 NA VCCAUX AM30 NA VCCAUX AM18 NA VCCAUX AM5 NA VCCAUX V3 NA VCCAUX U32 NA VCCAUX C30 NA VCCAUX C17 NA VCCAUX C5 NA VCCINT AD24 NA VCCINT AD11 NA VCCINT AC23 NA VCCINT AC12 NA VCCINT AB22 NA VCCINT AB21 Module 4 of 4 146 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA VCCINT AB20 NA VCCINT AB19 NA VCCINT AB18 NA VCCINT AB17 NA VCCINT AB16 NA VCCINT AB15 NA VCCINT AB14 NA VCCINT AB13 NA VCCINT AA22 NA VCCINT AA13 NA VCCINT Y22 NA VCCINT Y13 NA VCCINT W22 NA VCCINT W13 NA VCCINT V22 NA VCCINT V13 NA VCCINT U22 NA VCCINT U13 NA VCCINT T22 NA VCCINT T13 NA VCCINT R22 NA VCCINT R13 NA VCCINT P22 NA VCCINT P13 NA VCCINT N22 NA VCCINT N21 NA VCCINT N20 NA VCCINT N19 NA VCCINT N18 NA VCCINT N17 NA VCCINT N16 NA VCCINT N15 NA VCCINT N14 NA VCCINT N13 NA VCCINT M23 NA VCCINT M12 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 147 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA VCCINT L24 NA VCCINT L11 NA GND AP33 NA GND AP32 NA GND AP27 NA GND AP8 NA GND AP3 NA GND AP2 NA GND AN34 NA GND AN33 NA GND AN20 NA GND AN15 NA GND AN2 NA GND AN1 NA GND AM34 NA GND AM32 NA GND AM25 NA GND AM10 NA GND AM3 NA GND AM1 NA GND AL31 NA GND AL4 NA GND AK30 NA GND AK23 NA GND AK12 NA GND AK5 NA GND AJ29 NA GND AJ6 NA GND AH28 NA GND AH21 NA GND AH14 NA GND AH7 NA GND AG34 NA GND AG27 NA GND AG8 Module 4 of 4 148 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND AG1 NA GND AF19 NA GND AF16 NA GND AE32 NA GND AE3 NA GND AC30 NA GND AC5 NA GND AA28 NA GND AA21 NA GND AA20 NA GND AA19 NA GND AA18 NA GND AA17 NA GND AA16 NA GND AA15 NA GND AA14 NA GND AA7 NA GND Y33 NA GND Y21 NA GND Y20 NA GND Y19 NA GND Y18 NA GND Y17 NA GND Y16 NA GND Y15 NA GND Y14 NA GND Y2 NA GND W26 NA GND W21 NA GND W20 NA GND W19 NA GND W18 NA GND W17 NA GND W16 NA GND W15 NA GND W14 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 149 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND W9 NA GND V21 NA GND V20 NA GND V19 NA GND V18 NA GND V17 NA GND V16 NA GND V15 NA GND V14 NA GND U21 NA GND U20 NA GND U19 NA GND U18 NA GND U17 NA GND U16 NA GND U15 NA GND U14 NA GND T26 NA GND T21 NA GND T20 NA GND T19 NA GND T18 NA GND T17 NA GND T16 NA GND T15 NA GND T14 NA GND T9 NA GND R33 NA GND R21 NA GND R20 NA GND R19 NA GND R18 NA GND R17 NA GND R16 NA GND R15 NA GND R14 Module 4 of 4 150 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND R2 NA GND P28 NA GND P21 NA GND P20 NA GND P19 NA GND P18 NA GND P17 NA GND P16 NA GND P15 NA GND P14 NA GND P7 NA GND M30 NA GND M5 NA GND K32 NA GND K3 NA GND J19 NA GND J16 NA GND H34 NA GND H27 NA GND H8 NA GND H1 NA GND G28 NA GND G21 NA GND G14 NA GND G7 NA GND F29 NA GND F6 NA GND E30 NA GND E23 NA GND E12 NA GND E5 NA GND D31 NA GND D4 NA GND C34 NA GND C32 NA GND C25 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 Module 4 of 4 151 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 12: FF1152 BGA -- XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND C10 NA GND C3 NA GND C1 NA GND B34 NA GND B33 NA GND B20 NA GND B15 NA GND B2 NA GND B1 NA GND A33 NA GND A32 NA GND A27 NA GND A8 NA GND A3 NA GND A2 Module 4 of 4 152 www.xilinx.com 1-800-255-7778 No Connect in the XC2V3000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FF1152 Flip-Chip Fine-Pitch BGA Package Specifications (1.00mm pitch) Figure 8: FF1152 Flip-Chip Fine-Pitch BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 153 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FF1517 Flip-Chip Fine-Pitch BGA Package As shown in Table 13, XC2V4000, XC2V6000, and XC2V8000 Virtex-II devices are available in the FF1517 flip-chip fine-pitch BGA package. Pins in each of these devices are the same, except for the pin differences in the XC2V4000 and XC2V6000 devices shown in the No Connect columns. Following this table are the FF1517 Flip-Chip Fine-Pitch BGA Package Specifications (1.00mm pitch). Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L01N_0 B36 0 IO_L01P_0 C36 0 IO_L02N_0 J30 0 IO_L02P_0 J29 0 IO_L03N_0/VRP_0 D33 0 IO_L03P_0/VRN_0 D34 0 IO_L04N_0/VREF_0 C34 0 IO_L04P_0 C35 0 IO_L05N_0 H30 0 IO_L05P_0 G30 0 IO_L06N_0 D32 0 IO_L06P_0 E33 0 IO_L07N_0 A35 NC 0 IO_L07P_0 A36 NC 0 IO_L08N_0 K28 NC 0 IO_L08P_0 J28 NC 0 IO_L09N_0 E32 NC 0 IO_L09P_0/VREF_0 F32 NC 0 IO_L10N_0 B34 NC 0 IO_L10P_0 B35 NC 0 IO_L11N_0 H29 NC 0 IO_L11P_0 H28 NC 0 IO_L12N_0 F31 NC 0 IO_L12P_0 G31 NC 0 IO_L19N_0 C32 0 IO_L19P_0 C33 0 IO_L20N_0 M26 0 IO_L20P_0 M25 0 IO_L21N_0 E30 0 IO_L21P_0/VREF_0 E31 0 IO_L22N_0 A33 Module 4 of 4 154 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L22P_0 A34 0 IO_L23N_0 K27 0 IO_L23P_0 K26 0 IO_L24N_0 F29 0 IO_L24P_0 F30 0 IO_L25N_0 B32 0 IO_L25P_0 B33 0 IO_L26N_0 L26 0 IO_L26P_0 L25 0 IO_L27N_0 G28 0 IO_L27P_0/VREF_0 G29 0 IO_L28N_0 C30 0 IO_L28P_0 C31 0 IO_L29N_0 J27 0 IO_L29P_0 J26 0 IO_L30N_0 D30 0 IO_L30P_0 D31 0 IO_L31N_0 A31 NC 0 IO_L31P_0 A32 NC 0 IO_L32N_0 H27 NC 0 IO_L32P_0 H26 NC 0 IO_L33N_0 F27 NC 0 IO_L33P_0/VREF_0 F28 NC 0 IO_L34N_0 B30 NC 0 IO_L34P_0 B31 NC 0 IO_L35N_0 M24 NC 0 IO_L35P_0 M23 NC 0 IO_L36N_0 D28 NC 0 IO_L36P_0 D29 NC 0 IO_L49N_0 C28 0 IO_L49P_0 C29 0 IO_L50N_0 K25 0 IO_L50P_0 L24 0 IO_L51N_0 E27 0 IO_L51P_0/VREF_0 E28 0 IO_L52N_0 A29 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 155 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L52P_0 A30 0 IO_L53N_0 G26 0 IO_L53P_0 G25 0 IO_L54N_0 D26 0 IO_L54P_0 D27 0 IO_L55N_0 B27 0 IO_L55P_0 B28 0 IO_L56N_0 H25 0 IO_L56P_0 H24 0 IO_L57N_0 F25 0 IO_L57P_0/VREF_0 F26 0 IO_L58N_0 A27 0 IO_L58P_0 A28 0 IO_L59N_0 K24 0 IO_L59P_0 K23 0 IO_L60N_0 E24 0 IO_L60P_0 E25 0 IO_L67N_0 C26 0 IO_L67P_0 C27 0 IO_L68N_0 J24 0 IO_L68P_0 J23 0 IO_L69N_0 D24 0 IO_L69P_0/VREF_0 D25 0 IO_L70N_0 A25 0 IO_L70P_0 A26 0 IO_L71N_0 M22 0 IO_L71P_0 M21 0 IO_L72N_0 G23 0 IO_L72P_0 G24 0 IO_L73N_0 B25 0 IO_L73P_0 C25 0 IO_L74N_0 L22 0 IO_L74P_0 L21 0 IO_L75N_0 F23 0 IO_L75P_0/VREF_0 F24 0 IO_L76N_0 C23 Module 4 of 4 156 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L76P_0 C24 0 IO_L77N_0 K22 0 IO_L77P_0 K21 0 IO_L78N_0 E22 0 IO_L78P_0 E23 0 IO_L79N_0 B23 0 IO_L79P_0 B24 0 IO_L80N_0 J22 0 IO_L80P_0 J21 0 IO_L81N_0 G21 0 IO_L81P_0/VREF_0 G22 0 IO_L82N_0 A23 0 IO_L82P_0 A24 0 IO_L83N_0 H22 0 IO_L83P_0 H21 0 IO_L84N_0 F21 0 IO_L84P_0 F22 0 IO_L91N_0/VREF_0 B21 0 IO_L91P_0 B22 0 IO_L92N_0 L20 0 IO_L92P_0 M20 0 IO_L93N_0 E21 0 IO_L93P_0 D22 0 IO_L94N_0/VREF_0 A21 0 IO_L94P_0 A22 0 IO_L95N_0/GCLK7P H20 0 IO_L95P_0/GCLK6S J20 0 IO_L96N_0/GCLK5P C21 0 IO_L96P_0/GCLK4S D21 1 IO_L96N_1/GCLK3P F19 1 IO_L96P_1/GCLK2S F20 1 IO_L95N_1/GCLK1P H19 1 IO_L95P_1/GCLK0S H18 1 IO_L94N_1 C19 1 IO_L94P_1/VREF_1 C20 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 157 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L93N_1 E19 1 IO_L93P_1 E20 1 IO_L92N_1 J19 1 IO_L92P_1 J18 1 IO_L91N_1 A18 1 IO_L91P_1/VREF_1 A19 1 IO_L84N_1 D18 1 IO_L84P_1 D19 1 IO_L83N_1 K19 1 IO_L83P_1 K18 1 IO_L82N_1 B18 1 IO_L82P_1 B19 1 IO_L81N_1/VREF_1 G18 1 IO_L81P_1 G19 1 IO_L80N_1 E18 1 IO_L80P_1 E17 1 IO_L79N_1 A16 1 IO_L79P_1 A17 1 IO_L78N_1 F17 1 IO_L78P_1 F18 1 IO_L77N_1 L19 1 IO_L77P_1 L18 1 IO_L76N_1 B16 1 IO_L76P_1 B17 1 IO_L75N_1/VREF_1 G16 1 IO_L75P_1 G17 1 IO_L74N_1 M19 1 IO_L74P_1 M18 1 IO_L73N_1 C16 1 IO_L73P_1 C17 1 IO_L72N_1 D15 1 IO_L72P_1 D16 1 IO_L71N_1 J17 1 IO_L71P_1 J16 1 IO_L70N_1 A14 1 IO_L70P_1 A15 Module 4 of 4 158 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L69N_1/VREF_1 E15 1 IO_L69P_1 E16 1 IO_L68N_1 K17 1 IO_L68P_1 K16 1 IO_L67N_1 C15 1 IO_L67P_1 B15 1 IO_L60N_1 F15 1 IO_L60P_1 F16 1 IO_L59N_1 H16 1 IO_L59P_1 H15 1 IO_L58N_1 C13 1 IO_L58P_1 C14 1 IO_L57N_1/VREF_1 D13 1 IO_L57P_1 D14 1 IO_L56N_1 M17 1 IO_L56P_1 M16 1 IO_L55N_1 A12 1 IO_L55P_1 A13 1 IO_L54N_1 B12 1 IO_L54P_1 B13 1 IO_L53N_1 G15 1 IO_L53P_1 G14 1 IO_L52N_1 C11 1 IO_L52P_1 C12 1 IO_L51N_1/VREF_1 F13 1 IO_L51P_1 F14 1 IO_L50N_1 L16 1 IO_L50P_1 L15 1 IO_L49N_1 A10 1 IO_L49P_1 A11 1 IO_L36N_1 E12 NC 1 IO_L36P_1 E13 NC 1 IO_L35N_1 K15 NC 1 IO_L35P_1 J14 NC 1 IO_L34N_1 B9 NC 1 IO_L34P_1 B10 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 159 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 1 IO_L33N_1/VREF_1 D11 NC 1 IO_L33P_1 D12 NC 1 IO_L32N_1 H14 NC 1 IO_L32P_1 H13 NC 1 IO_L31N_1 A8 NC 1 IO_L31P_1 A9 NC 1 IO_L30N_1 F11 1 IO_L30P_1 F12 1 IO_L29N_1 K14 1 IO_L29P_1 L14 1 IO_L28N_1 C9 1 IO_L28P_1 C10 1 IO_L27N_1/VREF_1 G11 1 IO_L27P_1 G12 1 IO_L26N_1 M15 1 IO_L26P_1 M14 1 IO_L25N_1 B7 1 IO_L25P_1 B8 1 IO_L24N_1 D9 1 IO_L24P_1 D10 1 IO_L23N_1 J13 1 IO_L23P_1 J12 1 IO_L22N_1 A6 1 IO_L22P_1 A7 1 IO_L21N_1/VREF_1 E9 1 IO_L21P_1 E10 1 IO_L20N_1 D8 1 IO_L20P_1 E7 1 IO_L19N_1 C7 1 IO_L19P_1 C8 1 IO_L12N_1 F9 NC 1 IO_L12P_1 F10 NC 1 IO_L11N_1 H12 NC 1 IO_L11P_1 H11 NC 1 IO_L10N_1 B5 NC 1 IO_L10P_1 B6 NC Module 4 of 4 160 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 1 IO_L09N_1/VREF_1 G9 NC 1 IO_L09P_1 G10 NC 1 IO_L08N_1 K13 NC 1 IO_L08P_1 K12 NC 1 IO_L07N_1 A4 NC 1 IO_L07P_1 A5 NC 1 IO_L06N_1 F8 1 IO_L06P_1 E8 1 IO_L05N_1 J11 1 IO_L05P_1 K11 1 IO_L04N_1 C5 1 IO_L04P_1/VREF_1 C6 1 IO_L03N_1/VRP_1 D6 1 IO_L03P_1/VRN_1 D7 1 IO_L02N_1 H10 1 IO_L02P_1 J10 1 IO_L01N_1 C4 1 IO_L01P_1 B4 2 IO_L01N_2 E3 2 IO_L01P_2 D2 2 IO_L02N_2/VRP_2 L13 2 IO_L02P_2/VRN_2 M13 2 IO_L03N_2 F4 2 IO_L03P_2/VREF_2 E4 2 IO_L04N_2 E1 2 IO_L04P_2 D1 2 IO_L05N_2 L12 2 IO_L05P_2 M11 2 IO_L06N_2 G6 2 IO_L06P_2 F5 2 IO_L07N_2 F2 NC 2 IO_L07P_2 E2 NC 2 IO_L08N_2 M12 NC 2 IO_L08P_2 N12 NC 2 IO_L09N_2 H6 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 161 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 2 IO_L09P_2/VREF_2 H7 NC 2 IO_L10N_2 G3 NC 2 IO_L10P_2 F3 NC 2 IO_L11N_2 J8 NC 2 IO_L11P_2 K8 NC 2 IO_L12N_2 H5 NC 2 IO_L12P_2 G5 NC 2 IO_L19N_2 G1 2 IO_L19P_2 F1 2 IO_L20N_2 K9 2 IO_L20P_2 L10 2 IO_L21N_2 K7 2 IO_L21P_2/VREF_2 J7 2 IO_L22N_2 H2 2 IO_L22P_2 G2 2 IO_L23N_2 L9 2 IO_L23P_2 M9 2 IO_L24N_2 H4 2 IO_L24P_2 G4 2 IO_L25N_2 J3 2 IO_L25P_2 H3 2 IO_L26N_2 M10 2 IO_L26P_2 N10 2 IO_L27N_2 K6 2 IO_L27P_2/VREF_2 J6 2 IO_L28N_2 K5 2 IO_L28P_2 J5 2 IO_L29N_2 N11 2 IO_L29P_2 P11 2 IO_L30N_2 M7 2 IO_L30P_2 L7 2 IO_L31N_2 J1 NC 2 IO_L31P_2 H1 NC 2 IO_L32N_2 L8 NC 2 IO_L32P_2 M8 NC 2 IO_L33N_2 K4 NC Module 4 of 4 162 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 2 IO_L33P_2/VREF_2 J4 NC 2 IO_L34N_2 K2 NC 2 IO_L34P_2 J2 NC 2 IO_L35N_2 P12 NC 2 IO_L35P_2 R12 NC 2 IO_L36N_2 M6 NC 2 IO_L36P_2 L6 NC 2 IO_L43N_2 L3 2 IO_L43P_2 K3 2 IO_L44N_2 N9 2 IO_L44P_2 P9 2 IO_L45N_2 M4 2 IO_L45P_2/VREF_2 L4 2 IO_L46N_2 L1 2 IO_L46P_2 K1 2 IO_L47N_2 P10 2 IO_L47P_2 R10 2 IO_L48N_2 N5 2 IO_L48P_2 M5 2 IO_L49N_2 N3 2 IO_L49P_2 M3 2 IO_L50N_2 N8 2 IO_L50P_2 P8 2 IO_L51N_2 T11 2 IO_L51P_2/VREF_2 R11 2 IO_L52N_2 N2 2 IO_L52P_2 M2 2 IO_L53N_2 T12 2 IO_L53P_2 U12 2 IO_L54N_2 P6 2 IO_L54P_2 N6 2 IO_L55N_2 N1 2 IO_L55P_2 M1 2 IO_L56N_2 R8 2 IO_L56P_2 T8 2 IO_L57N_2 R7 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 163 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 IO_L57P_2/VREF_2 P7 2 IO_L58N_2 R3 2 IO_L58P_2 P3 2 IO_L59N_2 T10 2 IO_L59P_2 U10 2 IO_L60N_2 P4 2 IO_L60P_2 N4 2 IO_L67N_2 T6 2 IO_L67P_2 R6 2 IO_L68N_2 T9 2 IO_L68P_2 U9 2 IO_L69N_2 T5 2 IO_L69P_2/VREF_2 R5 2 IO_L70N_2 R1 2 IO_L70P_2 P1 2 IO_L71N_2 V12 2 IO_L71P_2 W12 2 IO_L72N_2 T4 2 IO_L72P_2 R4 2 IO_L73N_2 T2 2 IO_L73P_2 R2 2 IO_L74N_2 V11 2 IO_L74P_2 W11 2 IO_L75N_2 U7 2 IO_L75P_2/VREF_2 T7 2 IO_L76N_2 U3 2 IO_L76P_2 T3 2 IO_L77N_2 V10 2 IO_L77P_2 W10 2 IO_L78N_2 V6 2 IO_L78P_2 U6 2 IO_L79N_2 U1 2 IO_L79P_2 T1 2 IO_L80N_2 V9 2 IO_L80P_2 W9 2 IO_L81N_2 V5 Module 4 of 4 164 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 IO_L81P_2/VREF_2 U5 2 IO_L82N_2 V2 2 IO_L82P_2 U2 2 IO_L83N_2 V8 2 IO_L83P_2 W8 2 IO_L84N_2 W7 2 IO_L84P_2 V7 2 IO_L91N_2 W1 2 IO_L91P_2 V1 2 IO_L92N_2 Y11 2 IO_L92P_2 Y12 2 IO_L93N_2 W4 2 IO_L93P_2/VREF_2 V4 2 IO_L94N_2 W2 2 IO_L94P_2 W3 2 IO_L95N_2 Y8 2 IO_L95P_2 Y9 2 IO_L96N_2 W5 2 IO_L96P_2 W6 3 IO_L96N_3 AB8 3 IO_L96P_3 AA8 3 IO_L95N_3 Y3 3 IO_L95P_3 AA3 3 IO_L94N_3 Y6 3 IO_L94P_3 AA6 3 IO_L93N_3/VREF_3 AB9 3 IO_L93P_3 AA9 3 IO_L92N_3 AA1 3 IO_L92P_3 AB1 3 IO_L91N_3 Y5 3 IO_L91P_3 AA5 3 IO_L84N_3 AB10 3 IO_L84P_3 AA10 3 IO_L83N_3 AA2 3 IO_L83P_3 AB2 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 165 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L82N_3 AA4 3 IO_L82P_3 AB4 3 IO_L81N_3/VREF_3 AB11 3 IO_L81P_3 AA11 3 IO_L80N_3 AC1 3 IO_L80P_3 AD1 3 IO_L79N_3 AA7 3 IO_L79P_3 AB7 3 IO_L78N_3 AB12 3 IO_L78P_3 AA12 3 IO_L77N_3 AC2 3 IO_L77P_3 AC3 3 IO_L76N_3 AB5 3 IO_L76P_3 AC5 3 IO_L75N_3/VREF_3 AD9 3 IO_L75P_3 AC9 3 IO_L74N_3 AD2 3 IO_L74P_3 AE2 3 IO_L73N_3 AB6 3 IO_L73P_3 AC6 3 IO_L72N_3 AD10 3 IO_L72P_3 AC10 3 IO_L71N_3 AD3 3 IO_L71P_3 AE3 3 IO_L70N_3 AC7 3 IO_L70P_3 AD7 3 IO_L69N_3/VREF_3 AE8 3 IO_L69P_3 AD8 3 IO_L68N_3 AE1 3 IO_L68P_3 AF1 3 IO_L67N_3 AD4 3 IO_L67P_3 AE4 3 IO_L60N_3 AD12 3 IO_L60P_3 AC12 3 IO_L59N_3 AF3 3 IO_L59P_3 AG3 Module 4 of 4 166 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L58N_3 AD5 3 IO_L58P_3 AE5 3 IO_L57N_3/VREF_3 AE11 3 IO_L57P_3 AD11 3 IO_L56N_3 AG1 3 IO_L56P_3 AH1 3 IO_L55N_3 AD6 3 IO_L55P_3 AE6 3 IO_L54N_3 AF10 3 IO_L54P_3 AE10 3 IO_L53N_3 AG2 3 IO_L53P_3 AH2 3 IO_L52N_3 AF4 3 IO_L52P_3 AG4 3 IO_L51N_3/VREF_3 AG8 3 IO_L51P_3 AF8 3 IO_L50N_3 AH3 3 IO_L50P_3 AJ3 3 IO_L49N_3 AE7 3 IO_L49P_3 AF7 3 IO_L48N_3 AG9 3 IO_L48P_3 AF9 3 IO_L47N_3 AF6 3 IO_L47P_3 AG6 3 IO_L46N_3 AG5 3 IO_L46P_3 AH5 3 IO_L45N_3/VREF_3 AF12 3 IO_L45P_3 AE12 3 IO_L44N_3 AJ1 3 IO_L44P_3 AK1 3 IO_L43N_3 AH4 3 IO_L43P_3 AJ4 3 IO_L36N_3 AG11 NC 3 IO_L36P_3 AF11 NC 3 IO_L35N_3 AK2 NC 3 IO_L35P_3 AL2 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 167 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 3 IO_L34N_3 AH6 NC 3 IO_L34P_3 AJ6 NC 3 IO_L33N_3/VREF_3 AJ8 NC 3 IO_L33P_3 AH8 NC 3 IO_L32N_3 AL1 NC 3 IO_L32P_3 AM1 NC 3 IO_L31N_3 AH7 NC 3 IO_L31P_3 AJ7 NC 3 IO_L30N_3 AH10 3 IO_L30P_3 AG10 3 IO_L29N_3 AK3 3 IO_L29P_3 AL3 3 IO_L28N_3 AK4 3 IO_L28P_3 AL4 3 IO_L27N_3/VREF_3 AJ9 3 IO_L27P_3 AH9 3 IO_L26N_3 AM2 3 IO_L26P_3 AN2 3 IO_L25N_3 AK5 3 IO_L25P_3 AL5 3 IO_L24N_3 AK9 3 IO_L24P_3 AK8 3 IO_L23N_3 AN1 3 IO_L23P_3 AP1 3 IO_L22N_3 AK6 3 IO_L22P_3 AL6 3 IO_L21N_3/VREF_3 AH12 3 IO_L21P_3 AG12 3 IO_L20N_3 AM3 3 IO_L20P_3 AN3 3 IO_L19N_3 AM4 3 IO_L19P_3 AN4 3 IO_L12N_3 AJ12 NC 3 IO_L12P_3 AH11 NC 3 IO_L11N_3 AP2 NC 3 IO_L11P_3 AR2 NC Module 4 of 4 168 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 3 IO_L10N_3 AK7 NC 3 IO_L10P_3 AL7 NC 3 IO_L09N_3/VREF_3 AK11 NC 3 IO_L09P_3 AJ10 NC 3 IO_L08N_3 AR1 NC 3 IO_L08P_3 AT1 NC 3 IO_L07N_3 AM5 NC 3 IO_L07P_3 AN5 NC 3 IO_L06N_3 AM7 3 IO_L06P_3 AL8 3 IO_L05N_3 AP3 3 IO_L05P_3 AP4 3 IO_L04N_3 AM6 3 IO_L04P_3 AN6 3 IO_L03N_3/VREF_3 AJ13 3 IO_L03P_3 AH13 3 IO_L02N_3/VRP_3 AR3 3 IO_L02P_3/VRN_3 AT2 3 IO_L01N_3 AP5 3 IO_L01P_3 AR4 4 IO_L01N_4/DOUT AV4 4 IO_L01P_4/INIT_B AU4 4 IO_L02N_4/D0 AM9 4 IO_L02P_4/D1 AM10 4 IO_L03N_4/D2/ALT_VRP_4 AT6 4 IO_L03P_4/D3/ALT_VRN_4 AR6 4 IO_L04N_4/VREF_4 AU6 4 IO_L04P_4 AU5 4 IO_L05N_4/VRP_4 AL10 4 IO_L05P_4/VRN_4 AL11 4 IO_L06N_4 AR8 4 IO_L06P_4 AR7 4 IO_L07N_4 AW5 NC 4 IO_L07P_4 AW4 NC 4 IO_L08N_4 AK12 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 169 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 4 IO_L08P_4 AL12 NC 4 IO_L09N_4 AP9 NC 4 IO_L09P_4/VREF_4 AP8 NC 4 IO_L10N_4 AV6 NC 4 IO_L10P_4 AV5 NC 4 IO_L11N_4 AM11 NC 4 IO_L11P_4 AM12 NC 4 IO_L12N_4 AN10 NC 4 IO_L12P_4 AN9 NC 4 IO_L19N_4 AU8 4 IO_L19P_4 AU7 4 IO_L20N_4 AH14 4 IO_L20P_4 AH15 4 IO_L21N_4 AT8 4 IO_L21P_4/VREF_4 AT7 4 IO_L22N_4 AW7 4 IO_L22P_4 AW6 4 IO_L23N_4 AK13 4 IO_L23P_4 AK14 4 IO_L24N_4 AR10 4 IO_L24P_4 AR9 4 IO_L25N_4 AV8 4 IO_L25P_4 AV7 4 IO_L26N_4 AJ14 4 IO_L26P_4 AJ15 4 IO_L27N_4 AP11 4 IO_L27P_4/VREF_4 AP10 4 IO_L28N_4 AU10 4 IO_L28P_4 AU9 4 IO_L29N_4 AL13 4 IO_L29P_4 AL14 4 IO_L30N_4 AN12 4 IO_L30P_4 AN11 4 IO_L31N_4 AW9 NC 4 IO_L31P_4 AW8 NC 4 IO_L32N_4 AM13 NC Module 4 of 4 170 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 4 IO_L32P_4 AM14 NC 4 IO_L33N_4 AT10 NC 4 IO_L33P_4/VREF_4 AT9 NC 4 IO_L34N_4 AV10 NC 4 IO_L34P_4 AV9 NC 4 IO_L35N_4 AH16 NC 4 IO_L35P_4 AH17 NC 4 IO_L36N_4 AP13 NC 4 IO_L36P_4 AP12 NC 4 IO_L49N_4 AU12 4 IO_L49P_4 AU11 4 IO_L50N_4 AK15 4 IO_L50P_4 AJ16 4 IO_L51N_4 AT12 4 IO_L51P_4/VREF_4 AT11 4 IO_L52N_4 AN15 4 IO_L52P_4 AN14 4 IO_L53N_4 AR12 4 IO_L53P_4 AR13 4 IO_L54N_4 AT14 4 IO_L54P_4 AT13 4 IO_L55N_4 AW11 4 IO_L55P_4 AW10 4 IO_L56N_4 AM15 4 IO_L56P_4 AM16 4 IO_L57N_4 AP15 4 IO_L57P_4/VREF_4 AP14 4 IO_L58N_4 AV13 4 IO_L58P_4 AV12 4 IO_L59N_4 AK16 4 IO_L59P_4 AK17 4 IO_L60N_4 AR16 4 IO_L60P_4 AR15 4 IO_L67N_4 AW13 4 IO_L67P_4 AW12 4 IO_L68N_4 AL16 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 171 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 4 IO_L68P_4 AL17 4 IO_L69N_4 AT16 4 IO_L69P_4/VREF_4 AT15 4 IO_L70N_4 AU14 4 IO_L70P_4 AU13 4 IO_L71N_4 AH18 4 IO_L71P_4 AH19 4 IO_L72N_4 AN17 4 IO_L72P_4 AN16 4 IO_L73N_4 AW15 4 IO_L73P_4 AW14 4 IO_L74N_4 AJ18 4 IO_L74P_4 AJ19 4 IO_L75N_4 AP17 4 IO_L75P_4/VREF_4 AP16 4 IO_L76N_4 AV15 4 IO_L76P_4 AU15 4 IO_L77N_4 AK18 4 IO_L77P_4 AK19 4 IO_L78N_4 AR18 4 IO_L78P_4 AR17 4 IO_L79N_4 AU17 4 IO_L79P_4 AU16 4 IO_L80N_4 AL18 4 IO_L80P_4 AL19 4 IO_L81N_4 AN19 4 IO_L81P_4/VREF_4 AN18 4 IO_L82N_4 AV17 4 IO_L82P_4 AV16 4 IO_L83N_4 AM18 4 IO_L83P_4 AM19 4 IO_L84N_4 AP19 4 IO_L84P_4 AP18 4 IO_L85N_4 4 4 Module 4 of 4 172 No Connect in the XC2V4000 No Connect in the XC2V6000 AW17 NC NC IO_L85P_4 AW16 NC NC IO_L91N_4/VREF_4 AV19 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in the XC2V4000 No Connect in the XC2V6000 4 IO_L91P_4 AV18 4 IO_L92N_4 AH20 4 IO_L92P_4 AJ20 4 IO_L93N_4 AR19 4 IO_L93P_4 AT18 4 IO_L94N_4/VREF_4 AW19 4 IO_L94P_4 AW18 4 IO_L95N_4/GCLK3S AL20 4 IO_L95P_4/GCLK2P AM20 4 IO_L96N_4/GCLK1S AU19 4 IO_L96P_4/GCLK0P AT19 5 IO_L96N_5/GCLK7S AP21 5 IO_L96P_5/GCLK6P AP20 5 IO_L95N_5/GCLK5S AN21 5 IO_L95P_5/GCLK4P AN22 5 IO_L94N_5 AU21 5 IO_L94P_5/VREF_5 AU20 5 IO_L93N_5 AR21 5 IO_L93P_5 AR20 5 IO_L92N_5 AM21 5 IO_L92P_5 AM22 5 IO_L91N_5 AW22 5 IO_L91P_5/VREF_5 AW21 5 IO_L85N_5 AV22 NC NC 5 IO_L85P_5 AV21 NC NC 5 IO_L84N_5 AT22 5 IO_L84P_5 AT21 5 IO_L83N_5 AL21 5 IO_L83P_5 AL22 5 IO_L82N_5 AW24 5 IO_L82P_5 AW23 5 IO_L81N_5/VREF_5 AR23 5 IO_L81P_5 AR22 5 IO_L80N_5 AK21 5 IO_L80P_5 AK22 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 173 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L79N_5 AV24 5 IO_L79P_5 AV23 5 IO_L78N_5 AP23 5 IO_L78P_5 AP22 5 IO_L77N_5 AJ21 5 IO_L77P_5 AJ22 5 IO_L76N_5 AU24 5 IO_L76P_5 AU23 5 IO_L75N_5/VREF_5 AT25 5 IO_L75P_5 AT24 5 IO_L74N_5 AH21 5 IO_L74P_5 AH22 5 IO_L73N_5 AW26 5 IO_L73P_5 AW25 5 IO_L72N_5 AR25 5 IO_L72P_5 AR24 5 IO_L71N_5 AN23 5 IO_L71P_5 AN24 5 IO_L70N_5 AU25 5 IO_L70P_5 AV25 5 IO_L69N_5/VREF_5 AL24 5 IO_L69P_5 AL23 5 IO_L68N_5 AK23 5 IO_L68P_5 AK24 5 IO_L67N_5 AU27 5 IO_L67P_5 AU26 5 IO_L60N_5 AP25 5 IO_L60P_5 AP24 5 IO_L59N_5 AM24 5 IO_L59P_5 AM25 5 IO_L58N_5 AW28 5 IO_L58P_5 AW27 5 IO_L57N_5/VREF_5 AT27 5 IO_L57P_5 AT26 5 IO_L56N_5 AH23 5 IO_L56P_5 AH24 Module 4 of 4 174 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L55N_5 AV28 5 IO_L55P_5 AV27 5 IO_L54N_5 AP27 5 IO_L54P_5 AP26 5 IO_L53N_5 AN25 5 IO_L53P_5 AN26 5 IO_L52N_5 AU29 5 IO_L52P_5 AU28 5 IO_L51N_5/VREF_5 AR28 5 IO_L51P_5 AR27 5 IO_L50N_5 AJ24 5 IO_L50P_5 AJ25 5 IO_L49N_5 AW30 5 IO_L49P_5 AW29 5 IO_L36N_5 AT29 NC 5 IO_L36P_5 AT28 NC 5 IO_L35N_5 AK25 NC 5 IO_L35P_5 AL26 NC 5 IO_L34N_5 AV31 NC 5 IO_L34P_5 AV30 NC 5 IO_L33N_5/VREF_5 AP29 NC 5 IO_L33P_5 AP28 NC 5 IO_L32N_5 AK26 NC 5 IO_L32P_5 AJ26 NC 5 IO_L31N_5 AW32 NC 5 IO_L31P_5 AW31 NC 5 IO_L30N_5 AM27 5 IO_L30P_5 AM26 5 IO_L29N_5 AN28 5 IO_L29P_5 AN29 5 IO_L28N_5 AU31 5 IO_L28P_5 AU30 5 IO_L27N_5/VREF_5 AT31 5 IO_L27P_5 AT30 5 IO_L26N_5 AH25 5 IO_L26P_5 AH26 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 175 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L25N_5 AV33 5 IO_L25P_5 AV32 5 IO_L24N_5 AR31 5 IO_L24P_5 AR30 5 IO_L23N_5 AL27 5 IO_L23P_5 AL28 5 IO_L22N_5 AW34 5 IO_L22P_5 AW33 5 IO_L21N_5/VREF_5 AN30 5 IO_L21P_5 AP30 5 IO_L20N_5 AM28 5 IO_L20P_5 AM29 5 IO_L19N_5 AU33 5 IO_L19P_5 AU32 5 IO_L12N_5 AT33 NC 5 IO_L12P_5 AT32 NC 5 IO_L11N_5 AK27 NC 5 IO_L11P_5 AK28 NC 5 IO_L10N_5 AV35 NC 5 IO_L10P_5 AV34 NC 5 IO_L09N_5/VREF_5 AP32 NC 5 IO_L09P_5 AP31 NC 5 IO_L08N_5 AL29 NC 5 IO_L08P_5 AK29 NC 5 IO_L07N_5 AW36 NC 5 IO_L07P_5 AW35 NC 5 IO_L06N_5 AR33 5 IO_L06P_5 AR32 5 IO_L05N_5/VRP_5 AM30 5 IO_L05P_5/VRN_5 AL30 5 IO_L04N_5 AU35 5 IO_L04P_5/VREF_5 AU34 5 IO_L03N_5/D4/ALT_VRP_5 AR34 5 IO_L03P_5/D5/ALT_VRN_5 AT34 5 IO_L02N_5/D6 AN31 5 IO_L02P_5/D7 AM31 Module 4 of 4 176 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L01N_5/RDWR_B AU36 5 IO_L01P_5/CS_B AV36 6 IO_L01P_6 AJ27 6 IO_L01N_6 AH27 6 IO_L02P_6/VRN_6 AT38 6 IO_L02N_6/VRP_6 AR37 6 IO_L03P_6 AP36 6 IO_L03N_6/VREF_6 AR36 6 IO_L04P_6 AJ28 6 IO_L04N_6 AH29 6 IO_L05P_6 AT39 6 IO_L05N_6 AR39 6 IO_L06P_6 AN34 6 IO_L06N_6 AP35 6 IO_L07P_6 AH28 NC 6 IO_L07N_6 AG28 NC 6 IO_L08P_6 AR38 NC 6 IO_L08N_6 AP38 NC 6 IO_L09P_6 AM34 NC 6 IO_L09N_6/VREF_6 AM33 NC 6 IO_L10P_6 AL32 NC 6 IO_L10N_6 AK32 NC 6 IO_L11P_6 AP37 NC 6 IO_L11N_6 AN37 NC 6 IO_L12P_6 AM35 NC 6 IO_L12N_6 AN35 NC 6 IO_L19P_6 AK31 6 IO_L19N_6 AJ30 6 IO_L20P_6 AP39 6 IO_L20N_6 AN39 6 IO_L21P_6 AK33 6 IO_L21N_6/VREF_6 AL33 6 IO_L22P_6 AJ31 6 IO_L22N_6 AH31 6 IO_L23P_6 AN38 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 177 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L23N_6 AM38 6 IO_L24P_6 AM36 6 IO_L24N_6 AN36 6 IO_L25P_6 AH30 6 IO_L25N_6 AG30 6 IO_L26P_6 AM37 6 IO_L26N_6 AL37 6 IO_L27P_6 AK34 6 IO_L27N_6/VREF_6 AL34 6 IO_L28P_6 AG29 6 IO_L28N_6 AF29 6 IO_L29P_6 AL35 6 IO_L29N_6 AK35 6 IO_L30P_6 AH33 6 IO_L30N_6 AJ33 6 IO_L31P_6 AJ32 NC 6 IO_L31N_6 AH32 NC 6 IO_L32P_6 AM39 NC 6 IO_L32N_6 AL39 NC 6 IO_L33P_6 AK36 NC 6 IO_L33N_6/VREF_6 AL36 NC 6 IO_L34P_6 AF28 NC 6 IO_L34N_6 AE28 NC 6 IO_L35P_6 AL38 NC 6 IO_L35N_6 AK38 NC 6 IO_L36P_6 AH34 NC 6 IO_L36N_6 AJ34 NC 6 IO_L43P_6 AG31 6 IO_L43N_6 AF31 6 IO_L44P_6 AK37 6 IO_L44N_6 AJ37 6 IO_L45P_6 AH36 6 IO_L45N_6/VREF_6 AJ36 6 IO_L46P_6 AF30 6 IO_L46N_6 AE30 6 IO_L47P_6 AK39 Module 4 of 4 178 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L47N_6 AJ39 6 IO_L48P_6 AG35 6 IO_L48N_6 AH35 6 IO_L49P_6 AG32 6 IO_L49N_6 AF32 6 IO_L50P_6 AH37 6 IO_L50N_6 AG37 6 IO_L51P_6 AD29 6 IO_L51N_6/VREF_6 AE29 6 IO_L52P_6 AD28 6 IO_L52N_6 AC28 6 IO_L53P_6 AH38 6 IO_L53N_6 AG38 6 IO_L54P_6 AF34 6 IO_L54N_6 AG34 6 IO_L55P_6 AE32 6 IO_L55N_6 AD32 6 IO_L56P_6 AH39 6 IO_L56N_6 AG39 6 IO_L57P_6 AE33 6 IO_L57N_6/VREF_6 AF33 6 IO_L58P_6 AD30 6 IO_L58N_6 AC30 6 IO_L59P_6 AF37 6 IO_L59N_6 AE37 6 IO_L60P_6 AF36 6 IO_L60N_6 AG36 6 IO_L67P_6 AD31 6 IO_L67N_6 AC31 6 IO_L68P_6 AE34 6 IO_L68N_6 AD34 6 IO_L69P_6 AD35 6 IO_L69N_6/VREF_6 AE35 6 IO_L70P_6 AB28 6 IO_L70N_6 AA28 6 IO_L71P_6 AF39 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 179 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L71N_6 AE39 6 IO_L72P_6 AD36 6 IO_L72N_6 AE36 6 IO_L73P_6 AB29 6 IO_L73N_6 AA29 6 IO_L74P_6 AE38 6 IO_L74N_6 AD38 6 IO_L75P_6 AC33 6 IO_L75N_6/VREF_6 AD33 6 IO_L76P_6 AB30 6 IO_L76N_6 AA30 6 IO_L77P_6 AD37 6 IO_L77N_6 AC37 6 IO_L78P_6 AB34 6 IO_L78N_6 AC34 6 IO_L79P_6 AB31 6 IO_L79N_6 AA31 6 IO_L80P_6 AD39 6 IO_L80N_6 AC39 6 IO_L81P_6 AB35 6 IO_L81N_6/VREF_6 AC35 6 IO_L82P_6 AB32 6 IO_L82N_6 AA32 6 IO_L83P_6 AC38 6 IO_L83N_6 AB38 6 IO_L84P_6 AA33 6 IO_L84N_6 AB33 6 IO_L91P_6 Y28 6 IO_L91N_6 Y29 6 IO_L92P_6 AB39 6 IO_L92N_6 AA39 6 IO_L93P_6 AA36 6 IO_L93N_6/VREF_6 AB36 6 IO_L94P_6 Y31 6 IO_L94N_6 Y32 6 IO_L95P_6 AA37 Module 4 of 4 180 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L95N_6 AA38 6 IO_L96P_6 AA35 6 IO_L96N_6 AA34 7 IO_L96P_7 W34 7 IO_L96N_7 Y34 7 IO_L95P_7 W32 7 IO_L95N_7 V32 7 IO_L94P_7 W37 7 IO_L94N_7 Y37 7 IO_L93P_7/VREF_7 W35 7 IO_L93N_7 Y35 7 IO_L92P_7 W31 7 IO_L92N_7 V31 7 IO_L91P_7 V39 7 IO_L91N_7 W39 7 IO_L84P_7 V36 7 IO_L84N_7 W36 7 IO_L83P_7 W30 7 IO_L83N_7 V30 7 IO_L82P_7 V38 7 IO_L82N_7 W38 7 IO_L81P_7/VREF_7 V33 7 IO_L81N_7 W33 7 IO_L80P_7 W29 7 IO_L80N_7 V29 7 IO_L79P_7 T39 7 IO_L79N_7 U39 7 IO_L78P_7 U35 7 IO_L78N_7 V35 7 IO_L77P_7 W28 7 IO_L77N_7 V28 7 IO_L76P_7 U37 7 IO_L76N_7 U38 7 IO_L75P_7/VREF_7 U34 7 IO_L75N_7 V34 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 181 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L74P_7 U31 7 IO_L74N_7 T31 7 IO_L73P_7 R38 7 IO_L73N_7 T38 7 IO_L72P_7 T33 7 IO_L72N_7 U33 7 IO_L71P_7 U30 7 IO_L71N_7 T30 7 IO_L70P_7 R37 7 IO_L70N_7 T37 7 IO_L69P_7/VREF_7 R36 7 IO_L69N_7 T36 7 IO_L68P_7 T32 7 IO_L68N_7 R32 7 IO_L67P_7 P39 7 IO_L67N_7 R39 7 IO_L60P_7 R35 7 IO_L60N_7 T35 7 IO_L59P_7 U28 7 IO_L59N_7 T28 7 IO_L58P_7 N37 7 IO_L58N_7 P37 7 IO_L57P_7/VREF_7 R34 7 IO_L57N_7 T34 7 IO_L56P_7 T29 7 IO_L56N_7 R29 7 IO_L55P_7 M39 7 IO_L55N_7 N39 7 IO_L54P_7 N36 7 IO_L54N_7 P36 7 IO_L53P_7 R30 7 IO_L53N_7 P30 7 IO_L52P_7 M38 7 IO_L52N_7 N38 7 IO_L51P_7/VREF_7 P33 7 IO_L51N_7 R33 Module 4 of 4 182 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L50P_7 P32 7 IO_L50N_7 N32 7 IO_L49P_7 L37 7 IO_L49N_7 M37 7 IO_L48P_7 N34 7 IO_L48N_7 P34 7 IO_L47P_7 P31 7 IO_L47N_7 N31 7 IO_L46P_7 M35 7 IO_L46N_7 N35 7 IO_L45P_7/VREF_7 L36 7 IO_L45N_7 M36 7 IO_L44P_7 R28 7 IO_L44N_7 P28 7 IO_L43P_7 K39 7 IO_L43N_7 L39 7 IO_L36P_7 L34 NC 7 IO_L36N_7 M34 NC 7 IO_L35P_7 P29 NC 7 IO_L35N_7 N29 NC 7 IO_L34P_7 J38 NC 7 IO_L34N_7 K38 NC 7 IO_L33P_7/VREF_7 L33 NC 7 IO_L33N_7 M33 NC 7 IO_L32P_7 M32 NC 7 IO_L32N_7 L32 NC 7 IO_L31P_7 H39 NC 7 IO_L31N_7 J39 NC 7 IO_L30P_7 J36 7 IO_L30N_7 K36 7 IO_L29P_7 N30 7 IO_L29N_7 M30 7 IO_L28P_7 J37 7 IO_L28N_7 K37 7 IO_L27P_7/VREF_7 J35 7 IO_L27N_7 K35 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 183 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L26P_7 M31 7 IO_L26N_7 L31 7 IO_L25P_7 G38 7 IO_L25N_7 H38 7 IO_L24P_7 J34 7 IO_L24N_7 K34 7 IO_L23P_7 K32 7 IO_L23N_7 K31 7 IO_L22P_7 F39 7 IO_L22N_7 G39 7 IO_L21P_7/VREF_7 G36 7 IO_L21N_7 H36 7 IO_L20P_7 N28 7 IO_L20N_7 M28 7 IO_L19P_7 G37 7 IO_L19N_7 H37 7 IO_L12P_7 J33 NC 7 IO_L12N_7 K33 NC 7 IO_L11P_7 M29 NC 7 IO_L11N_7 L28 NC 7 IO_L10P_7 E38 NC 7 IO_L10N_7 F38 NC 7 IO_L09P_7/VREF_7 G35 NC 7 IO_L09N_7 H35 NC 7 IO_L08P_7 L30 NC 7 IO_L08N_7 K29 NC 7 IO_L07P_7 D39 NC 7 IO_L07N_7 E39 NC 7 IO_L06P_7 G34 7 IO_L06N_7 H34 7 IO_L05P_7 J32 7 IO_L05N_7 H33 7 IO_L04P_7 F36 7 IO_L04N_7 F37 7 IO_L03P_7/VREF_7 E36 7 IO_L03N_7 F35 Module 4 of 4 184 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L02P_7/VRN_7 M27 7 IO_L02N_7/VRP_7 L27 7 IO_L01P_7 D38 7 IO_L01N_7 E37 0 VCCO_0 P25 0 VCCO_0 P24 0 VCCO_0 P23 0 VCCO_0 P22 0 VCCO_0 P21 0 VCCO_0 N26 0 VCCO_0 N25 0 VCCO_0 N24 0 VCCO_0 N23 0 VCCO_0 N22 0 VCCO_0 N21 0 VCCO_0 L23 0 VCCO_0 J25 0 VCCO_0 G27 0 VCCO_0 E29 0 VCCO_0 C22 0 VCCO_0 B26 1 VCCO_1 P19 1 VCCO_1 P18 1 VCCO_1 P17 1 VCCO_1 P16 1 VCCO_1 P15 1 VCCO_1 N19 1 VCCO_1 N18 1 VCCO_1 N17 1 VCCO_1 N16 1 VCCO_1 N15 1 VCCO_1 N14 1 VCCO_1 L17 1 VCCO_1 J15 1 VCCO_1 G13 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 185 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 VCCO_1 E11 1 VCCO_1 C18 1 VCCO_1 B14 2 VCCO_2 W14 2 VCCO_2 W13 2 VCCO_2 V14 2 VCCO_2 V13 2 VCCO_2 V3 2 VCCO_2 U14 2 VCCO_2 U13 2 VCCO_2 U11 2 VCCO_2 T14 2 VCCO_2 T13 2 VCCO_2 R14 2 VCCO_2 R13 2 VCCO_2 R9 2 VCCO_2 P13 2 VCCO_2 P2 2 VCCO_2 N7 2 VCCO_2 L5 3 VCCO_3 AJ5 3 VCCO_3 AG7 3 VCCO_3 AF13 3 VCCO_3 AF2 3 VCCO_3 AE14 3 VCCO_3 AE13 3 VCCO_3 AE9 3 VCCO_3 AD14 3 VCCO_3 AD13 3 VCCO_3 AC14 3 VCCO_3 AC13 3 VCCO_3 AC11 3 VCCO_3 AB14 3 VCCO_3 AB13 3 VCCO_3 AB3 3 VCCO_3 AA14 Module 4 of 4 186 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 VCCO_3 AA13 4 VCCO_4 AV14 4 VCCO_4 AU18 4 VCCO_4 AR11 4 VCCO_4 AN13 4 VCCO_4 AL15 4 VCCO_4 AJ17 4 VCCO_4 AG19 4 VCCO_4 AG18 4 VCCO_4 AG17 4 VCCO_4 AG16 4 VCCO_4 AG15 4 VCCO_4 AG14 4 VCCO_4 AF19 4 VCCO_4 AF18 4 VCCO_4 AF17 4 VCCO_4 AF16 4 VCCO_4 AF15 5 VCCO_5 AV26 5 VCCO_5 AU22 5 VCCO_5 AR29 5 VCCO_5 AN27 5 VCCO_5 AL25 5 VCCO_5 AJ23 5 VCCO_5 AG26 5 VCCO_5 AG25 5 VCCO_5 AG24 5 VCCO_5 AG23 5 VCCO_5 AG22 5 VCCO_5 AG21 5 VCCO_5 AF25 5 VCCO_5 AF24 5 VCCO_5 AF23 5 VCCO_5 AF22 5 VCCO_5 AF21 6 VCCO_6 AJ35 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 187 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 VCCO_6 AG33 6 VCCO_6 AF38 6 VCCO_6 AF27 6 VCCO_6 AE31 6 VCCO_6 AE27 6 VCCO_6 AE26 6 VCCO_6 AD27 6 VCCO_6 AD26 6 VCCO_6 AC29 6 VCCO_6 AC27 6 VCCO_6 AC26 6 VCCO_6 AB37 6 VCCO_6 AB27 6 VCCO_6 AB26 6 VCCO_6 AA27 6 VCCO_6 AA26 7 VCCO_7 W27 7 VCCO_7 W26 7 VCCO_7 V37 7 VCCO_7 V27 7 VCCO_7 V26 7 VCCO_7 U29 7 VCCO_7 U27 7 VCCO_7 U26 7 VCCO_7 T27 7 VCCO_7 T26 7 VCCO_7 R31 7 VCCO_7 R27 7 VCCO_7 R26 7 VCCO_7 P38 7 VCCO_7 P27 7 VCCO_7 N33 7 VCCO_7 L35 NA CCLK AT5 NA PROG_B H31 Module 4 of 4 188 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA DONE AP7 NA M0 AN32 NA M1 AP33 NA M2 AT35 NA HSWAP_EN E34 NA TCK G8 NA TDI D35 NA TDO E6 NA TMS F7 NA PWRDWN_B AN8 NA DXN G32 NA DXP F33 NA VBATT D5 NA RSVD H9 NA VCCAUX AV20 NA VCCAUX AT37 NA VCCAUX AT3 NA VCCAUX Y38 NA VCCAUX Y2 NA VCCAUX D37 NA VCCAUX D3 NA VCCAUX B20 NA VCCINT AG27 NA VCCINT AG20 NA VCCINT AG13 NA VCCINT AF26 NA VCCINT AF20 NA VCCINT AF14 NA VCCINT AE25 NA VCCINT AE24 NA VCCINT AE23 NA VCCINT AE22 NA VCCINT AE21 NA VCCINT AE20 NA VCCINT AE19 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 189 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA VCCINT AE18 NA VCCINT AE17 NA VCCINT AE16 NA VCCINT AE15 NA VCCINT AD25 NA VCCINT AD24 NA VCCINT AD16 NA VCCINT AD15 NA VCCINT AC25 NA VCCINT AC15 NA VCCINT AB25 NA VCCINT AB15 NA VCCINT AA25 NA VCCINT AA15 NA VCCINT Y27 NA VCCINT Y26 NA VCCINT Y25 NA VCCINT Y15 NA VCCINT Y14 NA VCCINT Y13 NA VCCINT W25 NA VCCINT W15 NA VCCINT V25 NA VCCINT V15 NA VCCINT U25 NA VCCINT U15 NA VCCINT T25 NA VCCINT T24 NA VCCINT T16 NA VCCINT T15 NA VCCINT R25 NA VCCINT R24 NA VCCINT R23 NA VCCINT R22 NA VCCINT R21 NA VCCINT R20 Module 4 of 4 190 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA VCCINT R19 NA VCCINT R18 NA VCCINT R17 NA VCCINT R16 NA VCCINT R15 NA VCCINT P26 NA VCCINT P20 NA VCCINT P14 NA VCCINT N27 NA VCCINT N20 NA VCCINT N13 NA GND AW38 NA GND AW37 NA GND AW20 NA GND AW3 NA GND AW2 NA GND AV39 NA GND AV38 NA GND AV37 NA GND AV29 NA GND AV11 NA GND AV3 NA GND AV2 NA GND AV1 NA GND AU39 NA GND AU38 NA GND AU37 NA GND AU3 NA GND AU2 NA GND AU1 NA GND AT36 NA GND AT23 NA GND AT20 NA GND AT17 NA GND AT4 NA GND AR35 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 191 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND AR26 NA GND AR14 NA GND AR5 NA GND AP34 NA GND AP6 NA GND AN33 NA GND AN20 NA GND AN7 NA GND AM32 NA GND AM23 NA GND AM17 NA GND AM8 NA GND AL31 NA GND AL9 NA GND AK30 NA GND AK20 NA GND AK10 NA GND AJ38 NA GND AJ29 NA GND AJ11 NA GND AJ2 NA GND AF35 NA GND AF5 NA GND AD23 NA GND AD22 NA GND AD21 NA GND AD20 NA GND AD19 NA GND AD18 NA GND AD17 NA GND AC36 NA GND AC32 NA GND AC24 NA GND AC23 NA GND AC22 NA GND AC21 Module 4 of 4 192 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND AC20 NA GND AC19 NA GND AC18 NA GND AC17 NA GND AC16 NA GND AC8 NA GND AC4 NA GND AB24 NA GND AB23 NA GND AB22 NA GND AB21 NA GND AB20 NA GND AB19 NA GND AB18 NA GND AB17 NA GND AB16 NA GND AA24 NA GND AA23 NA GND AA22 NA GND AA21 NA GND AA20 NA GND AA19 NA GND AA18 NA GND AA17 NA GND AA16 NA GND Y39 NA GND Y36 NA GND Y33 NA GND Y30 NA GND Y24 NA GND Y23 NA GND Y22 NA GND Y21 NA GND Y20 NA GND Y19 NA GND Y18 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 193 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND Y17 NA GND Y16 NA GND Y10 NA GND Y7 NA GND Y4 NA GND Y1 NA GND W24 NA GND W23 NA GND W22 NA GND W21 NA GND W20 NA GND W19 NA GND W18 NA GND W17 NA GND W16 NA GND V24 NA GND V23 NA GND V22 NA GND V21 NA GND V20 NA GND V19 NA GND V18 NA GND V17 NA GND V16 NA GND U36 NA GND U32 NA GND U24 NA GND U23 NA GND U22 NA GND U21 NA GND U20 NA GND U19 NA GND U18 NA GND U17 NA GND U16 NA GND U8 Module 4 of 4 194 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND U4 NA GND T23 NA GND T22 NA GND T21 NA GND T20 NA GND T19 NA GND T18 NA GND T17 NA GND P35 NA GND P5 NA GND L38 NA GND L29 NA GND L11 NA GND L2 NA GND K30 NA GND K20 NA GND K10 NA GND J31 NA GND J9 NA GND H32 NA GND H23 NA GND H17 NA GND H8 NA GND G33 NA GND G20 NA GND G7 NA GND F34 NA GND F6 NA GND E35 NA GND E26 NA GND E14 NA GND E5 NA GND D36 NA GND D23 NA GND D20 NA GND D17 DS031-4 (v1.6) November 7, 2001 Advance Product Specification No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 Module 4 of 4 195 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 13: FF1517 BGA -- XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND D4 NA GND C39 NA GND C38 NA GND C37 NA GND C3 NA GND C2 NA GND C1 NA GND B39 NA GND B38 NA GND B37 NA GND B29 NA GND B11 NA GND B3 NA GND B2 NA GND B1 NA GND A38 NA GND A37 NA GND A20 NA GND A3 NA GND A2 Module 4 of 4 196 No Connect in the XC2V4000 www.xilinx.com 1-800-255-7778 No Connect in the XC2V6000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information FF1517 Flip-Chip Fine-Pitch BGA Package Specifications (1.00mm pitch) Figure 9: FF1517 Flip-Chip Fine-Pitch BGA Package Specifications DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 197 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information BF957 Flip-Chip BGA Package As shown in Table 14, XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Virtex-II devices are available in the BF957 package. Pins in each of these devices are the same, except for the pin differences in the XC2V2000 device shown in the No Connect column. Following this table are the BF957 Flip-Chip BGA Package Specifications (1.27mm pitch). Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L01N_0 H23 0 IO_L01P_0 H22 0 IO_L02N_0 G24 0 IO_L02P_0 E25 0 IO_L03N_0/VRP_0 B29 0 IO_L03P_0/VRN_0 C27 0 IO_L04N_0/VREF_0 F24 0 IO_L04P_0 F23 0 IO_L05N_0 D26 0 IO_L05P_0 D25 0 IO_L06N_0 A28 0 IO_L06P_0 A27 0 IO_L19N_0 J22 0 IO_L19P_0 J21 0 IO_L20N_0 G23 0 IO_L20P_0 G22 0 IO_L21N_0 B27 0 IO_L21P_0/VREF_0 B26 0 IO_L22N_0 K20 0 IO_L22P_0 K19 0 IO_L23N_0 C26 0 IO_L23P_0 C24 0 IO_L24N_0 D24 0 IO_L24P_0 D23 0 IO_L25N_0 E24 NC 0 IO_L25P_0 E23 NC 0 IO_L26N_0 G21 NC 0 IO_L26P_0 G20 NC 0 IO_L27N_0 A26 NC 0 IO_L27P_0/VREF_0 A25 NC 0 IO_L29N_0 H21 NC 0 IO_L29P_0 H20 NC 0 IO_L30N_0 B25 NC 0 IO_L30P_0 B23 NC Module 4 of 4 198 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L49N_0 C23 0 IO_L49P_0 C22 0 IO_L50N_0 E22 0 IO_L50P_0 E21 0 IO_L51N_0 F21 0 IO_L51P_0/VREF_0 F20 0 IO_L52N_0 A24 0 IO_L52P_0 A23 0 IO_L53N_0 E20 0 IO_L53P_0 E19 0 IO_L54N_0 B22 0 IO_L54P_0 B21 0 IO_L67N_0 D21 0 IO_L67P_0 D20 0 IO_L68N_0 J20 0 IO_L68P_0 J19 0 IO_L69N_0 F19 0 IO_L69P_0/VREF_0 F18 0 IO_L70N_0 A22 0 IO_L70P_0 A21 0 IO_L71N_0 H19 0 IO_L71P_0 H17 0 IO_L72N_0 C21 0 IO_L72P_0 C20 0 IO_L73N_0 B20 0 IO_L73P_0 B19 0 IO_L74N_0 G18 0 IO_L74P_0 G17 0 IO_L75N_0 E18 0 IO_L75P_0/VREF_0 D17 0 IO_L76N_0 A20 0 IO_L76P_0 A19 0 IO_L77N_0 D19 0 IO_L77P_0 D18 0 IO_L78N_0 C19 0 IO_L78P_0 C17 0 IO_L91N_0/VREF_0 K18 0 IO_L91P_0 J18 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 199 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 0 IO_L92N_0 F17 0 IO_L92P_0 F16 0 IO_L93N_0 B18 0 IO_L93P_0 B17 0 IO_L94N_0/VREF_0 J17 0 IO_L94P_0 J16 0 IO_L95N_0/GCLK7P E17 0 IO_L95P_0/GCLK6S E16 0 IO_L96N_0/GCLK5P A18 0 IO_L96P_0/GCLK4S A17 1 IO_L96N_1/GCLK3P C16 1 IO_L96P_1/GCLK2S C15 1 IO_L95N_1/GCLK1P H16 1 IO_L95P_1/GCLK0S H15 1 IO_L94N_1 A15 1 IO_L94P_1/VREF_1 A14 1 IO_L93N_1 F15 1 IO_L93P_1 F14 1 IO_L92N_1 G15 1 IO_L92P_1 G14 1 IO_L91N_1 B15 1 IO_L91P_1/VREF_1 B14 1 IO_L78N_1 D15 1 IO_L78P_1 E15 1 IO_L77N_1 J15 1 IO_L77P_1 K14 1 IO_L76N_1 D14 1 IO_L76P_1 D13 1 IO_L75N_1/VREF_1 E14 1 IO_L75P_1 E13 1 IO_L74N_1 A13 1 IO_L74P_1 A12 1 IO_L73N_1 F13 1 IO_L73P_1 F12 1 IO_L72N_1 J14 1 IO_L72P_1 J13 1 IO_L71N_1 B13 Module 4 of 4 200 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L71P_1 B12 1 IO_L70N_1 C13 1 IO_L70P_1 C12 1 IO_L69N_1/VREF_1 H13 1 IO_L69P_1 H12 1 IO_L68N_1 D12 1 IO_L68P_1 D11 1 IO_L67N_1 B11 1 IO_L67P_1 B10 1 IO_L54N_1 E12 1 IO_L54P_1 E11 1 IO_L53N_1 A11 1 IO_L53P_1 A10 1 IO_L52N_1 G12 1 IO_L52P_1 G11 1 IO_L51N_1/VREF_1 K13 1 IO_L51P_1 K12 1 IO_L50N_1 C11 1 IO_L50P_1 C10 1 IO_L49N_1 B9 1 IO_L49P_1 B7 1 IO_L30N_1 F11 NC 1 IO_L30P_1 F9 NC 1 IO_L29N_1 A9 NC 1 IO_L29P_1 A8 NC 1 IO_L27N_1/VREF_1 D9 NC 1 IO_L27P_1 D8 NC 1 IO_L26N_1 J12 NC 1 IO_L26P_1 J11 NC 1 IO_L25N_1 C9 NC 1 IO_L25P_1 C8 NC 1 IO_L24N_1 E10 1 IO_L24P_1 E9 1 IO_L23N_1 H11 1 IO_L23P_1 H10 1 IO_L22N_1 A7 1 IO_L22P_1 A6 1 IO_L21N_1/VREF_1 A5 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 201 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 1 IO_L21P_1 A4 1 IO_L20N_1 G10 1 IO_L20P_1 G9 1 IO_L19N_1 B6 1 IO_L19P_1 C5 1 IO_L06N_1 C6 1 IO_L06P_1 D6 1 IO_L05N_1 H9 1 IO_L05P_1 G8 1 IO_L04N_1 D7 1 IO_L04P_1/VREF_1 E6 1 IO_L03N_1/VRP_1 E8 1 IO_L03P_1/VRN_1 E7 1 IO_L02N_1 F8 1 IO_L02P_1 F7 1 IO_L01N_1 B5 1 IO_L01P_1 B3 2 IO_L01N_2 F5 2 IO_L01P_2 G4 2 IO_L02N_2/VRP_2 G6 2 IO_L02P_2/VRN_2 H6 2 IO_L03N_2 D3 2 IO_L03P_2/VREF_2 E4 2 IO_L04N_2 K10 2 IO_L04P_2 K9 2 IO_L05N_2 D2 2 IO_L05P_2 E3 2 IO_L06N_2 F4 2 IO_L06P_2 F3 2 IO_L19N_2 L10 2 IO_L19P_2 M10 2 IO_L20N_2 H7 2 IO_L20P_2 J8 2 IO_L21N_2 D1 2 IO_L21P_2/VREF_2 E1 2 IO_L22N_2 G5 2 IO_L22P_2 H5 Module 4 of 4 202 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 IO_L23N_2 E2 2 IO_L23P_2 F2 2 IO_L24N_2 H4 2 IO_L24P_2 J4 2 IO_L25N_2 K8 NC 2 IO_L25P_2 L8 NC 2 IO_L27N_2 J7 NC 2 IO_L27P_2/VREF_2 K7 NC 2 IO_L43N_2 F1 2 IO_L43P_2 G1 2 IO_L44N_2 L9 2 IO_L44P_2 M9 2 IO_L45N_2 G2 2 IO_L45P_2/VREF_2 J2 2 IO_L46N_2 H3 2 IO_L46P_2 J3 2 IO_L47N_2 J6 2 IO_L47P_2 L6 2 IO_L48N_2 J5 2 IO_L48P_2 K5 2 IO_L49N_2 H1 2 IO_L49P_2 J1 2 IO_L50N_2 N10 2 IO_L50P_2 P10 2 IO_L51N_2 L7 2 IO_L51P_2/VREF_2 M7 2 IO_L52N_2 K3 2 IO_L52P_2 L3 2 IO_L53N_2 M8 2 IO_L53P_2 N8 2 IO_L54N_2 L5 2 IO_L54P_2 M5 2 IO_L67N_2 K2 2 IO_L67P_2 L2 2 IO_L68N_2 M6 2 IO_L68P_2 N6 2 IO_L69N_2 L4 2 IO_L69P_2/VREF_2 M4 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 203 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 IO_L70N_2 K1 2 IO_L70P_2 L1 2 IO_L71N_2 N9 2 IO_L71P_2 P9 2 IO_L72N_2 N5 2 IO_L72P_2 P5 2 IO_L73N_2 M3 2 IO_L73P_2 N3 2 IO_L74N_2 R8 2 IO_L74P_2 R9 2 IO_L75N_2 M2 2 IO_L75P_2/VREF_2 N2 2 IO_L76N_2 M1 2 IO_L76P_2 N1 2 IO_L77N_2 P7 2 IO_L77P_2 R7 2 IO_L78N_2 N4 2 IO_L78P_2 P4 2 IO_L91N_2 T8 2 IO_L91P_2 T9 2 IO_L92N_2 P6 2 IO_L92P_2 R6 2 IO_L93N_2 P2 2 IO_L93P_2/VREF_2 R2 2 IO_L94N_2 R5 2 IO_L94P_2 T5 2 IO_L95N_2 P1 2 IO_L95P_2 R1 2 IO_L96N_2 R4 2 IO_L96P_2 R3 3 IO_L96N_3 T6 3 IO_L96P_3 U5 3 IO_L95N_3 U6 3 IO_L95P_3 V6 3 IO_L94N_3 T3 3 IO_L94P_3 U3 3 IO_L93N_3/VREF_3 U1 Module 4 of 4 204 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L93P_3 V1 3 IO_L92N_3 U8 3 IO_L92P_3 W8 3 IO_L91N_3 U2 3 IO_L91P_3 V2 3 IO_L78N_3 U7 3 IO_L78P_3 V7 3 IO_L77N_3 U4 3 IO_L77P_3 V4 3 IO_L76N_3 W1 3 IO_L76P_3 Y1 3 IO_L75N_3/VREF_3 V5 3 IO_L75P_3 W5 3 IO_L74N_3 W2 3 IO_L74P_3 Y2 3 IO_L73N_3 W6 3 IO_L73P_3 Y6 3 IO_L72N_3 Y5 3 IO_L72P_3 AA5 3 IO_L71N_3 W3 3 IO_L71P_3 Y3 3 IO_L70N_3 W4 3 IO_L70P_3 Y4 3 IO_L69N_3/VREF_3 U9 3 IO_L69P_3 V9 3 IO_L68N_3 AA1 3 IO_L68P_3 AB1 3 IO_L67N_3 Y7 3 IO_L67P_3 AA7 3 IO_L54N_3 AA6 3 IO_L54P_3 AC6 3 IO_L53N_3 AA2 3 IO_L53P_3 AB2 3 IO_L52N_3 AA4 3 IO_L52P_3 AC4 3 IO_L51N_3/VREF_3 V10 3 IO_L51P_3 W10 3 IO_L50N_3 AA3 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 205 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L50P_3 AB3 3 IO_L49N_3 AB5 3 IO_L49P_3 AC5 3 IO_L48N_3 W9 3 IO_L48P_3 Y9 3 IO_L47N_3 AC1 3 IO_L47P_3 AD1 3 IO_L46N_3 AC3 3 IO_L46P_3 AD3 3 IO_L45N_3/VREF_3 Y8 3 IO_L45P_3 AA8 3 IO_L44N_3 AC2 3 IO_L44P_3 AE2 3 IO_L43N_3 AB7 3 IO_L43P_3 AC7 3 IO_L27N_3/VREF_3 Y10 NC 3 IO_L27P_3 AA10 NC 3 IO_L25N_3 AE1 NC 3 IO_L25P_3 AF1 NC 3 IO_L24N_3 AF2 3 IO_L24P_3 AG2 3 IO_L23N_3 AA9 3 IO_L23P_3 AB9 3 IO_L22N_3 AD4 3 IO_L22P_3 AE4 3 IO_L21N_3/VREF_3 AD5 3 IO_L21P_3 AE5 3 IO_L20N_3 AB8 3 IO_L20P_3 AC8 3 IO_L19N_3 AG1 3 IO_L19P_3 AH1 3 IO_L06N_3 AF4 3 IO_L06P_3 AG4 3 IO_L05N_3 AB10 3 IO_L05P_3 AB11 3 IO_L04N_3 AF3 3 IO_L04P_3 AG3 3 IO_L03N_3/VREF_3 AD6 Module 4 of 4 206 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 3 IO_L03P_3 AD7 3 IO_L02N_3/VRP_3 AE6 3 IO_L02P_3/VRN_3 AF5 3 IO_L01N_3 AH2 3 IO_L01P_3 AH3 4 IO_L01N_4/DOUT AD9 4 IO_L01P_4/INIT_B AD10 4 IO_L02N_4/D0 AF7 4 IO_L02P_4/D1 AG7 4 IO_L03N_4/D2/ALT_VRP_4 AK3 4 IO_L03P_4/D3/ALT_VRN_4 AJ5 4 IO_L04N_4/VREF_4 AE8 4 IO_L04P_4 AF8 4 IO_L05N_4/VRP_4 AK4 4 IO_L05P_4/VRN_4 AK5 4 IO_L06N_4 AH6 4 IO_L06P_4 AH7 4 IO_L19N_4 AC10 4 IO_L19P_4 AC11 4 IO_L20N_4 AE9 4 IO_L20P_4 AE10 4 IO_L21N_4 AL4 4 IO_L21P_4/VREF_4 AL5 4 IO_L22N_4 AB12 4 IO_L22P_4 AB13 4 IO_L23N_4 AJ6 4 IO_L23P_4 AJ8 4 IO_L24N_4 AK6 4 IO_L24P_4 AK7 4 IO_L25N_4 AG8 NC 4 IO_L25P_4 AG9 NC 4 IO_L26N_4 AF9 NC 4 IO_L26P_4 AF11 NC 4 IO_L27N_4 AH8 NC 4 IO_L27P_4/VREF_4 AH9 NC 4 IO_L28N_4 AD11 NC 4 IO_L28P_4 AD12 NC DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 207 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number No Connect in XC2V2000 4 IO_L29N_4 AL6 NC 4 IO_L29P_4 AL7 NC 4 IO_L30N_4 AJ9 NC 4 IO_L30P_4 AJ10 NC 4 IO_L49N_4 AE11 4 IO_L49P_4 AE12 4 IO_L50N_4 AG10 4 IO_L50P_4 AG11 4 IO_L51N_4 AL8 4 IO_L51P_4/VREF_4 AL9 4 IO_L52N_4 AF12 4 IO_L52P_4 AF13 4 IO_L53N_4 AK9 4 IO_L53P_4 AK10 4 IO_L54N_4 AH11 4 IO_L54P_4 AH12 4 IO_L67N_4 AC12 4 IO_L67P_4 AC13 4 IO_L68N_4 AG12 4 IO_L68P_4 AG13 4 IO_L69N_4 AL10 4 IO_L69P_4/VREF_4 AL11 4 IO_L70N_4 AD13 4 IO_L70P_4 AD15 4 IO_L71N_4 AJ11 4 IO_L71P_4 AJ12 4 IO_L72N_4 AK11 4 IO_L72P_4 AK12 4 IO_L73N_4 AE14 4 IO_L73P_4 AE15 4 IO_L74N_4 AF14 4 IO_L74P_4 AF15 4 IO_L75N_4 AL12 4 IO_L75P_4/VREF_4 AL13 4 IO_L76N_4 AB14 4 IO_L76P_4 AC14 4 IO_L77N_4 AH13 4 IO_L77P_4 AH14 Module 4 of 4 208 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 4 IO_L78N_4 AJ13 4 IO_L78P_4 AK13 4 IO_L91N_4/VREF_4 AC15 4 IO_L91P_4 AC16 4 IO_L92N_4 AG14 4 IO_L92P_4 AG15 4 IO_L93N_4 AK14 4 IO_L93P_4 AK15 4 IO_L94N_4/VREF_4 AF16 4 IO_L94P_4 AG16 4 IO_L95N_4/GCLK3S AL14 4 IO_L95P_4/GCLK2P AL15 4 IO_L96N_4/GCLK1S AH15 4 IO_L96P_4/GCLK0P AJ15 5 IO_L96N_5/GCLK7S AJ16 5 IO_L96P_5/GCLK6P AH17 5 IO_L95N_5/GCLK5S AD16 5 IO_L95P_5/GCLK4P AD17 5 IO_L94N_5 AL17 5 IO_L94P_5/VREF_5 AL18 5 IO_L93N_5 AG17 5 IO_L93P_5 AF17 5 IO_L92N_5 AE17 5 IO_L92P_5 AE18 5 IO_L91N_5 AK17 5 IO_L91P_5/VREF_5 AJ17 5 IO_L78N_5 AK18 5 IO_L78P_5 AK19 5 IO_L77N_5 AC17 5 IO_L77P_5 AB18 5 IO_L76N_5 AH18 5 IO_L76P_5 AH19 5 IO_L75N_5/VREF_5 AL19 5 IO_L75P_5 AL20 5 IO_L74N_5 AC18 5 IO_L74P_5 AC19 5 IO_L73N_5 AJ19 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 209 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L73P_5 AJ20 5 IO_L72N_5 AG18 5 IO_L72P_5 AG19 5 IO_L71N_5 AF18 5 IO_L71P_5 AF19 5 IO_L70N_5 AK20 5 IO_L70P_5 AK21 5 IO_L69N_5/VREF_5 AH20 5 IO_L69P_5 AH21 5 IO_L68N_5 AD19 5 IO_L68P_5 AD20 5 IO_L67N_5 AL21 5 IO_L67P_5 AL22 5 IO_L54N_5 AG20 5 IO_L54P_5 AG21 5 IO_L53N_5 AB19 5 IO_L53P_5 AB20 5 IO_L52N_5 AJ21 5 IO_L52P_5 AJ22 5 IO_L51N_5/VREF_5 AF20 5 IO_L51P_5 AF21 5 IO_L50N_5 AE20 5 IO_L50P_5 AE21 5 IO_L49N_5 AK22 5 IO_L49P_5 AK23 5 IO_L30N_5 AJ23 NC 5 IO_L30P_5 AJ24 NC 5 IO_L29N_5 AC20 NC 5 IO_L29P_5 AC21 NC 5 IO_L28N_5 AL23 NC 5 IO_L28P_5 AL24 NC 5 IO_L27N_5/VREF_5 AL25 NC 5 IO_L27P_5 AL26 NC 5 IO_L26N_5 AD21 NC 5 IO_L26P_5 AD22 NC 5 IO_L25N_5 AH23 NC 5 IO_L25P_5 AH24 NC 5 IO_L24N_5 AG22 Module 4 of 4 210 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 IO_L24P_5 AG23 5 IO_L23N_5 AE22 5 IO_L23P_5 AE23 5 IO_L22N_5 AK25 5 IO_L22P_5 AK26 5 IO_L21N_5/VREF_5 AH25 5 IO_L21P_5 AG25 5 IO_L20N_5 AB21 5 IO_L20P_5 AC22 5 IO_L19N_5 AL27 5 IO_L19P_5 AL28 5 IO_L06N_5 AK27 5 IO_L06P_5 AJ27 5 IO_L05N_5/VRP_5 AD23 5 IO_L05P_5/VRN_5 AE24 5 IO_L04N_5 AJ26 5 IO_L04P_5/VREF_5 AH26 5 IO_L03N_5/D4/ALT_VRP_5 AF23 5 IO_L03P_5/D5/ALT_VRN_5 AF24 5 IO_L02N_5/D6 AG24 5 IO_L02P_5/D7 AF25 5 IO_L01N_5/RDWR_B AK28 5 IO_L01P_5/CS_B AK29 6 IO_L01P_6 AF27 6 IO_L01N_6 AF28 6 IO_L02P_6/VRN_6 AE26 6 IO_L02N_6/VRP_6 AE27 6 IO_L03P_6 AH29 6 IO_L03N_6/VREF_6 AH30 6 IO_L04P_6 AB22 6 IO_L04N_6 AB23 6 IO_L05P_6 AG28 6 IO_L05N_6 AG29 6 IO_L06P_6 AH31 6 IO_L06N_6 AG31 6 IO_L19P_6 AA22 6 IO_L19N_6 Y22 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 211 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L20P_6 AD25 6 IO_L20N_6 AC24 6 IO_L21P_6 AG30 6 IO_L21N_6/VREF_6 AF30 6 IO_L22P_6 AD26 6 IO_L22N_6 AC26 6 IO_L23P_6 AF29 6 IO_L23N_6 AD29 6 IO_L24P_6 AE28 6 IO_L24N_6 AD28 6 IO_L25P_6 AB24 NC 6 IO_L25N_6 AA24 NC 6 IO_L27P_6 AC25 NC 6 IO_L27N_6/VREF_6 AB25 NC 6 IO_L43P_6 AF31 6 IO_L43N_6 AE31 6 IO_L44P_6 AA23 6 IO_L44N_6 Y23 6 IO_L45P_6 AE30 6 IO_L45N_6/VREF_6 AC30 6 IO_L46P_6 AC28 6 IO_L46N_6 AA28 6 IO_L47P_6 AD27 6 IO_L47N_6 AC27 6 IO_L48P_6 AA25 6 IO_L48N_6 Y25 6 IO_L49P_6 AC29 6 IO_L49N_6 AB29 6 IO_L50P_6 AB27 6 IO_L50N_6 AA27 6 IO_L51P_6 AA26 6 IO_L51N_6/VREF_6 Y26 6 IO_L52P_6 AD31 6 IO_L52N_6 AC31 6 IO_L53P_6 W22 6 IO_L53N_6 V22 6 IO_L54P_6 Y27 6 IO_L54N_6 W27 Module 4 of 4 212 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 6 IO_L67P_6 AB30 6 IO_L67N_6 AA30 6 IO_L68P_6 W26 6 IO_L68N_6 V26 6 IO_L69P_6 AB31 6 IO_L69N_6/VREF_6 AA31 6 IO_L70P_6 AA29 6 IO_L70N_6 Y29 6 IO_L71P_6 Y24 6 IO_L71N_6 W24 6 IO_L72P_6 V25 6 IO_L72N_6 U25 6 IO_L73P_6 Y28 6 IO_L73N_6 W28 6 IO_L74P_6 W23 6 IO_L74N_6 V23 6 IO_L75P_6 Y30 6 IO_L75N_6/VREF_6 W30 6 IO_L76P_6 Y31 6 IO_L76N_6 W31 6 IO_L77P_6 V27 6 IO_L77N_6 U27 6 IO_L78P_6 W29 6 IO_L78N_6 U29 6 IO_L91P_6 U23 6 IO_L91N_6 T23 6 IO_L92P_6 U26 6 IO_L92N_6 T26 6 IO_L93P_6 V28 6 IO_L93N_6/VREF_6 U28 6 IO_L94P_6 U24 6 IO_L94N_6 T24 6 IO_L95P_6 V30 6 IO_L95N_6 U30 6 IO_L96P_6 V31 6 IO_L96N_6 U31 7 IO_L96P_7 T27 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 213 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L96N_7 R27 7 IO_L95P_7 R24 7 IO_L95N_7 N24 7 IO_L94P_7 T29 7 IO_L94N_7 R29 7 IO_L93P_7/VREF_7 R31 7 IO_L93N_7 P31 7 IO_L92P_7 R26 7 IO_L92N_7 P26 7 IO_L91P_7 R30 7 IO_L91N_7 P30 7 IO_L78P_7 R25 7 IO_L78N_7 P25 7 IO_L77P_7 R28 7 IO_L77N_7 P28 7 IO_L76P_7 N31 7 IO_L76N_7 M31 7 IO_L75P_7/VREF_7 R23 7 IO_L75N_7 P23 7 IO_L74P_7 N30 7 IO_L74N_7 M30 7 IO_L73P_7 P27 7 IO_L73N_7 N27 7 IO_L72P_7 P22 7 IO_L72N_7 N22 7 IO_L71P_7 N29 7 IO_L71N_7 M29 7 IO_L70P_7 N28 7 IO_L70N_7 M28 7 IO_L69P_7/VREF_7 N26 7 IO_L69N_7 M26 7 IO_L68P_7 L31 7 IO_L68N_7 K31 7 IO_L67P_7 M27 7 IO_L67N_7 L27 7 IO_L54P_7 N23 7 IO_L54N_7 M23 7 IO_L53P_7 L30 Module 4 of 4 214 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L53N_7 K30 7 IO_L52P_7 L28 7 IO_L52N_7 J28 7 IO_L51P_7/VREF_7 M24 7 IO_L51N_7 L24 7 IO_L50P_7 L29 7 IO_L50N_7 K29 7 IO_L49P_7 M25 7 IO_L49N_7 L25 7 IO_L48P_7 L26 7 IO_L48N_7 J26 7 IO_L47P_7 J31 7 IO_L47N_7 H31 7 IO_L46P_7 J29 7 IO_L46N_7 H29 7 IO_L45P_7/VREF_7 M22 7 IO_L45N_7 L22 7 IO_L44P_7 J30 7 IO_L44N_7 G30 7 IO_L43P_7 K27 7 IO_L43N_7 J27 7 IO_L27P_7/VREF_7 L23 NC 7 IO_L27N_7 K23 NC 7 IO_L25P_7 G31 NC 7 IO_L25N_7 F31 NC 7 IO_L24P_7 F30 7 IO_L24N_7 E30 7 IO_L23P_7 K25 7 IO_L23N_7 J25 7 IO_L22P_7 H28 7 IO_L22N_7 G28 7 IO_L21P_7/VREF_7 H27 7 IO_L21N_7 G27 7 IO_L20P_7 K24 7 IO_L20N_7 J24 7 IO_L19P_7 E31 7 IO_L19N_7 D31 7 IO_L06P_7 F28 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 215 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 7 IO_L06N_7 E28 7 IO_L05P_7 K22 7 IO_L05N_7 K21 7 IO_L04P_7 F29 7 IO_L04N_7 E29 7 IO_L03P_7/VREF_7 H26 7 IO_L03N_7 H25 7 IO_L02P_7/VRN_7 G26 7 IO_L02N_7/VRP_7 F27 7 IO_L01P_7 D30 7 IO_L01N_7 D29 0 VCCO_0 C18 0 VCCO_0 C25 0 VCCO_0 F22 0 VCCO_0 H18 0 VCCO_0 L17 0 VCCO_0 L18 0 VCCO_0 L19 0 VCCO_0 L20 0 VCCO_0 M17 0 VCCO_0 M18 0 VCCO_0 M19 1 VCCO_1 C7 1 VCCO_1 C14 1 VCCO_1 F10 1 VCCO_1 H14 1 VCCO_1 L12 1 VCCO_1 L13 1 VCCO_1 L14 1 VCCO_1 L15 1 VCCO_1 M13 1 VCCO_1 M14 1 VCCO_1 M15 2 VCCO_2 G3 2 VCCO_2 K6 2 VCCO_2 M11 2 VCCO_2 N11 Module 4 of 4 216 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 2 VCCO_2 N12 2 VCCO_2 P3 2 VCCO_2 P8 2 VCCO_2 P11 2 VCCO_2 P12 2 VCCO_2 R11 2 VCCO_2 R12 3 VCCO_3 U11 3 VCCO_3 U12 3 VCCO_3 V3 3 VCCO_3 V8 3 VCCO_3 V11 3 VCCO_3 V12 3 VCCO_3 W11 3 VCCO_3 W12 3 VCCO_3 Y11 3 VCCO_3 AB6 3 VCCO_3 AE3 4 VCCO_4 Y13 4 VCCO_4 Y14 4 VCCO_4 Y15 4 VCCO_4 AA12 4 VCCO_4 AA13 4 VCCO_4 AA14 4 VCCO_4 AA15 4 VCCO_4 AD14 4 VCCO_4 AF10 4 VCCO_4 AJ7 4 VCCO_4 AJ14 5 VCCO_5 Y17 5 VCCO_5 Y18 5 VCCO_5 Y19 5 VCCO_5 AA17 5 VCCO_5 AA18 5 VCCO_5 AA19 5 VCCO_5 AA20 5 VCCO_5 AD18 5 VCCO_5 AF22 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 217 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number 5 VCCO_5 AJ18 5 VCCO_5 AJ25 6 VCCO_6 U20 6 VCCO_6 U21 6 VCCO_6 V20 6 VCCO_6 V21 6 VCCO_6 V24 6 VCCO_6 V29 6 VCCO_6 W20 6 VCCO_6 W21 6 VCCO_6 Y21 6 VCCO_6 AB26 6 VCCO_6 AE29 7 VCCO_7 G29 7 VCCO_7 K26 7 VCCO_7 M21 7 VCCO_7 N20 7 VCCO_7 N21 7 VCCO_7 P20 7 VCCO_7 P21 7 VCCO_7 P24 7 VCCO_7 P29 7 VCCO_7 R20 7 VCCO_7 R21 NA CCLK AJ4 NA PROG_B D27 NA DONE AG6 NA M0 AH27 NA M1 AJ28 NA M2 AG26 NA HSWAP_EN E26 NA TCK K11 NA TDI C28 NA TDO C4 NA TMS J10 NA PWRDWN_B AH5 NA DXN F25 Module 4 of 4 218 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA DXP B28 NA VBATT D5 NA RSVD B4 NA VCCAUX B16 NA VCCAUX C2 NA VCCAUX C30 NA VCCAUX T2 NA VCCAUX T30 NA VCCAUX AJ2 NA VCCAUX AJ30 NA VCCAUX AK16 NA VCCINT K15 NA VCCINT K17 NA VCCINT L11 NA VCCINT L16 NA VCCINT L21 NA VCCINT M12 NA VCCINT M16 NA VCCINT M20 NA VCCINT N13 NA VCCINT N14 NA VCCINT N15 NA VCCINT N16 NA VCCINT N17 NA VCCINT N18 NA VCCINT N19 NA VCCINT P13 NA VCCINT P19 NA VCCINT R10 NA VCCINT R13 NA VCCINT R19 NA VCCINT R22 NA VCCINT T11 NA VCCINT T12 NA VCCINT T13 NA VCCINT T19 NA VCCINT T20 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 219 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA VCCINT T21 NA VCCINT U10 NA VCCINT U13 NA VCCINT U19 NA VCCINT U22 NA VCCINT V13 NA VCCINT V19 NA VCCINT W13 NA VCCINT W14 NA VCCINT W15 NA VCCINT W16 NA VCCINT W17 NA VCCINT W18 NA VCCINT W19 NA VCCINT Y12 NA VCCINT Y16 NA VCCINT Y20 NA VCCINT AA11 NA VCCINT AA16 NA VCCINT AA21 NA VCCINT AB15 NA VCCINT AB17 NA GND A2 NA GND A3 NA GND A16 NA GND A29 NA GND A30 NA GND B1 NA GND B2 NA GND B8 NA GND B24 NA GND B30 NA GND B31 NA GND C1 NA GND C3 NA GND C29 NA GND C31 NA GND D4 Module 4 of 4 220 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND D10 NA GND D16 NA GND D22 NA GND D28 NA GND E5 NA GND E27 NA GND F6 NA GND F26 NA GND G7 NA GND G13 NA GND G16 NA GND G19 NA GND G25 NA GND H2 NA GND H8 NA GND H24 NA GND H30 NA GND J9 NA GND J23 NA GND K4 NA GND K16 NA GND K28 NA GND N7 NA GND N25 NA GND P14 NA GND P15 NA GND P16 NA GND P17 NA GND P18 NA GND R14 NA GND R15 NA GND R16 NA GND R17 NA GND R18 NA GND T1 NA GND T4 NA GND T7 NA GND T10 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 221 R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND T14 NA GND T15 NA GND T16 NA GND T17 NA GND T18 NA GND T22 NA GND T25 NA GND T28 NA GND T31 NA GND U14 NA GND U15 NA GND U16 NA GND U17 NA GND U18 NA GND V14 NA GND V15 NA GND V16 NA GND V17 NA GND V18 NA GND W7 NA GND W25 NA GND AB4 NA GND AB16 NA GND AB28 NA GND AC9 NA GND AC23 NA GND AD2 NA GND AD8 NA GND AD24 NA GND AD30 NA GND AE7 NA GND AE13 NA GND AE16 NA GND AE19 NA GND AE25 NA GND AF6 NA GND AF26 NA GND AG5 Module 4 of 4 222 www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Table 14: BF957 -- XC2V2000, XC2V3000, XC2V4000, XC2V6000, and XC2V8000 Bank Pin Description Pin Number NA GND AG27 NA GND AH4 NA GND AH10 NA GND AH16 NA GND AH22 NA GND AH28 NA GND AJ1 NA GND AJ3 NA GND AJ29 NA GND AJ31 NA GND AK1 NA GND AK2 NA GND AK8 NA GND AK24 NA GND AK30 NA GND AK31 NA GND AL2 NA GND AL3 NA GND AL16 NA GND AL29 NA GND AL30 DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 No Connect in XC2V2000 Module 4 of 4 223 Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information R BF957 Flip-Chip BGA Package Specifications (1.27mm pitch) Figure 10: BF957 Flip-Chip BGA Package Specifications Module 4 of 4 224 www.xilinx.com 1-800-255-7778 DS031-4 (v1.6) November 7, 2001 Advance Product Specification R Virtex-II 1.5V Field-Programmable Gate Arrays Pinout Information Revision History This section records the change history for this module of the data sheet. Date Version 11/07/00 1.0 Early access draft. 11/22/00 1.1 Initial Xilinx release. Made the following corrections: CS144 package - Table 5 on page 5: * Added missing pin D10 in Bank 1. * Changed dedicated pins A2 and B2 to RSVD (from DXN and DXP). FG256 package - Table 6 on page 10: * Changed dedicated pins A3 and A4 to RSVD (from DXN and DXP). FG896 package - Table 11 on page 94: * Corrected pin AG1 in Bank 4 to be AG12. FF1152 package - Table 12 on page 120: * Corrected pin Y3 in Bank 6 to be Y32. 12/19/00 1.2 Reverse designations were fixed for pins in every package. 01/25/01 1.3 The data sheet was divided into four modules (per the current style standard). DXN and DXP pin information was added for the CS144 package (Table 5) and the FG256 package (Table 6). 02/07/01 1.4 DXN and DXP pin information was changed back to RSVD for the CS144 package (Table 5) and the FG256 package (Table 6). 04/02/01 1.5 11/07/01 1.6 Revision * * * * ALT_VRN and ALT_VRP pin information was added for each package. Table 8 on page 34 - added No Connect designations for the XC2V1500 device in the FG676 package. Reverted to traditional double-column format. Updated list of devices supported in the FF1152, FF1517, and BF957 packages. Virtex-II Data Sheet The Virtex-II Data Sheet contains the following modules: * DS031-3, Virtex-II 1.5V FPGAs: DC and Switching Characteristics (Module 3) DS031-1, Virtex-II 1.5V FPGAs: Introduction and * Ordering Information (Module 1) * * DS031-2, Virtex-II 1.5V FPGAs: Functional Description DS031-4, Virtex-II 1.5V FPGAs: Pinout Tables (Module 4) (Module 2) DS031-4 (v1.6) November 7, 2001 Advance Product Specification www.xilinx.com 1-800-255-7778 Module 4 of 4 225